Category Archives: Online Magazines

Global electronic components distributor Digi-Key Corporation today announced the signing of a global distribution agreement with MEMSIC, a provider of MEMS sensor components, sophisticated inertial systems, and leading-edge wireless sensor networks.

“As technology tries to fit more and more functionality into smaller and smaller spaces, MEMS has grown exponentially in utilization,” said Mark Zack, Digi-Key Vice President, Global Semiconductor Product. “By integrating IC and MEMS functionality, MEMSIC offers our customers a unique product to fill a growing need in their designs. We are pleased to partner with MEMSIC.”

MEMSIC designs and manufactures integrated micro-electromechanical sensors (MEMS) using a standard integrated circuit (IC) manufacturing process. The company combines proprietary thermal-based MEMS technology and advanced analog mixed-signal processing circuitry into a single chip. This allows MEMSIC to produce high-performance accelerometers and other MEMS devices at substantially lower cost than most traditional processes.

"Digi-Key is recognized by design engineers worldwide for its excellent service, and for its access to readily available components they can count on for new designs,” noted John Newton, MEMSIC Vice President of Marketing. “We are excited to be partnering with Digi-Key, and believe this agreement will significantly expand MEMSIC’s global reach to design engineers looking for the latest in sensor technology."  

Smartphones are set to become even more flexible and more satisfying to use, thanks to a unique sensor system developed by STMicroelectronics. Combining three optical elements in a single compact package, the VL6180 is the first member of ST’s FlightSense family and uses a new optical-sensing technology that reduces the incidence of dropped calls and enables innovative new user interactions with smartphones.

The VL6180 uses a ground-breaking proximity measuring technology to offer unprecedented accuracy and reliability in calculating the distance between the smartphone and the user. Instead of estimating distance by measuring the amount of light reflected back from the object, which is significantly influenced by color and surface, the sensor precisely measures the time the light takes to travel to the nearest object and reflect back to the sensor. This “Time-of-Flight” approach ignores the amount of light reflected back and only considers the time for the light to make the return journey.

"This marks the first time that Time-of-Flight technology has been made available in a form factor small enough to integrate into the most space-constrained smartphones,” said Arnaud Laflaquière, General Manager of ST’s Imaging Division. “This technology breakthrough brings a major performance enhancement over existing proximity sensors, solving the face hang-up issues of current smartphone and also enabling new innovative ways for users to interact with their devices."

The key to ST’s patented new solution is an infra-red emitter that sends out light pulses, an ultra-fast light detector that picks up the reflected  pulses, and electronic circuitry that accurately measures the time difference between the emission of a pulse and the detection of its reflection.

Combining electronic, optical and packaging unique expertise from across the company, the VL6180 embeds both a robust ranging time-of-flight sensor and a wide dynamic ambient light sensor die, along with an infra-red emitter. Thanks to its all-in-one, ready- to-use architecture, the VL6180 is easy to integrate and saves the phone-makers long and costly optical and mechanical design optimizations.

Addressing dropped calls is not the only benefit that ST’s new technology brings to the smartphone market.  The ability to measure a reliable absolute distance from the phone to a hand or other object opens up new user interaction scenarios that phone manufacturers and app developers can rapidly exploit.

How the semiconductor industry can create the next generations of nanoscale computing technology will be one of the themes of the 2013 International Conference on Frontiers of Characterization and Metrology for Nanoelectronics, to be held at the National Institute of Standards and Technology (NIST), March 25-28, 2013, at its campus in Gaithersburg, Md.

As the devices lying at the heart of computing shrink ever closer to fundamental limits, the semiconductor industry must confront the problem of what to do when conventional microprocessors simply cannot shrink any further. The bi-yearly conference, which will be attended by international representatives from industry, government and academia, should be of interest to anyone concerned about the future of semiconductor manufacturing—a $300 billion industry and a linchpin of the U.S. economy.

Highlights include the three successive keynote addresses beginning at 9 a.m., Tuesday, March 26, by Mike Mayberry, V.P. and Director of Component Research at Intel; Naga Chandrasekaran, V.P. of Process R&D at Micron; and Gyeong-Su Park, Leader of the Analytical Science Group at Samsung Advanced Institute of Technology. Also, at 8:30 a.m., Thursday, March 28, invited speaker Tom Theis of the Nanoelectronics Research Initiative will deliver an address on long-term strategies to replace today’s transistors.

researcher Ma Ming developes brighter, smarter, more efficient LEDsRensselaer Polytechnic Institute student Ming Ma has developed a new method to manufacture light-emitting diodes (LEDs) that are brighter, more energy efficient, and have superior technical properties than those on the market today. His patent-pending invention holds the promise of hastening the global adoption of LEDs and reducing the overall cost and environmental impact of illuminating our homes and businesses.

For this innovation, Ma, a doctoral student in the Department of Materials Science and Engineering, has been named the winner of the prestigious 2013 $30,000 Lemelson-Rensselaer Student Prize. He is among the three 2013 $30,000 Lemelson-MIT Collegiate Student Prize winners announced today.

“For more than 175 years, Rensselaer has produced some of the world’s most successful engineers and scientists, explorers and scholars, innovators and entrepreneurs. Doctoral student Ming Ma, with his groundbreaking invention of GRIN LEDs, honors and continues this tradition of excellence,” said David Rosowsky, dean of the School of Engineering at Rensselaer. “Rensselaer and the School of Engineering offer a hearty congratulations to Ming for his achievement. We also applaud all of the winners, finalists, and entrants of the Lemelson-MIT Collegiate Student Prize for using their talent and passion to engineer a better world and a better tomorrow.”

Ma is the seventh recipient of the Lemelson-Rensselaer Student Prize. First given in 2007, the prize is awarded annually to a Rensselaer senior or graduate student who has created or improved a product or process, applied a technology in a new way, redesigned a system, or demonstrated remarkable inventiveness in other ways.

“Invention is critical to the U.S. economy. It is imperative we instill a passion for invention in today’s youth, while rewarding those who are inspiring role models,” said Joshua Schuler, executive director of the Lemelson-MIT Program. “This year’s Lemelson-MIT Collegiate Student Prize winners and finalists from the Massachusetts Institute of Technology, Rensselaer Polytechnic Institute, and the University of Illinois at Urbana-Champaign prove that inventions and inventive ideas have the power to impact countless individuals and entire industries for the better.”

Seeking Brighter, Smarter LEDs

Conventional incandescent and fluorescent light sources are increasingly being replaced by more energy-efficient, longer-lived, and environmentally friendlier LEDs, but LEDs still suffer from challenges related to brightness, efficiency, and performance  With his project, “Graded-refractive-index (GRIN) Structures for Brighter and Smarter Light-Emitting Diodes,” Ma faced these problems head-on and tackled a fundamental, well-known technical shortcoming of LED materials.

LEDs are hampered by low light-extraction efficiency—or the percentage of produced light that actually escapes from the LED chip. Currently, most unprocessed LEDs have a light-extraction efficiency of only 25 percent, which means 75 percent of light produced gets trapped within the device itself.

One solution that has emerged is to roughen the surface of LEDs, in order to create nanoscale gaps and valleys that enable more light to escape. While surface roughening leads to brighter and more efficient light emission, the roughening process creates random features on the LED’s surface that do not allow for a complete control over other critical device properties such as surface structure and refractive index.

Freeing Trapped Light with GRIN LEDs

Ma’s solution to this problem was to create an LED with well-structured features on the surface to minimize the amount of light that gets reflected back into the device, and thus boost the amount of light emitted. He invented a process for creating LEDs with many tiny star-shaped pillars on the surface. Each pillar is made up of five nanolayers specifically engineered to help “carry” the light out of the LED material and into the surrounding air.

new brighter smart more efficient LEDMa’s patent-pending technology, called GRIN (graded-refractive-index) LEDs, has demonstrated a light-extraction efficiency of 70 percent, meaning 70 percent of light escaped and only 30 percent was left trapped inside the device—a huge improvement over the 25 percent light-extraction efficiency of most of today’s unprocessed LEDs. In addition, GRIN LEDs also have controllable emission patterns, and enable a more uniform illumination than today’s LEDs.

Overall, Ma’s innovation could lead to entirely new methods for manufacturing LEDs with increased light output, greater efficiency, and more controllable properties than both surface-roughened LEDs and the LEDs currently available in the marketplace.

               

Broadcom has been ranked number one vendor in three recent competitive assessments released by ABI Research on wireless connectivity IC markets. One was for overall wireless connectivity ICs, another on Bluetooth ICs, and a final on Wi-Fi ICs.

Broadcom is the market leader for wireless connectivity ICs, with by far the largest market share. It has had particular success with media tablets and successive wireless connectivity combo ICs, used predominantly in smartphones from handset vendors such Apple, Samsung, LG, HTC, Nokia, and many more. Qualcomm claimed second place overall in each of the Competitive Assessments and is snapping at Broadcom’s heels.

“The wireless connectivity IC market has gone through a transition period over the last few years, from one served by technology specific companies, to one served largely by technology agnostic companies that have wide product portfolios,” commented Peter Cooney, practice director. “As more devices have embraced 2 or more short-range wireless technologies it has been those suppliers that have been able to integrate Bluetooth, Wi-Fi, GPS, NFC, FM, etc., such as Broadcom, that have grabbed market share from competitors and become market leaders whilst others have been marginalized.”

In the next fivwe to 10 years, as the Internet of Everything (IoE) market develops and there will be two distinct markets: hubs and nodes. There will be increased integration into platform ICs, vendors will need to be able to provide all of the building blocks for devices (such as smartphones) in order to populate the market with multi-radio hubs capable of interacting with the billions of new node devices that will tend to be single technology solutions. Each of these will require IC vendors to have a multi-technology strategy to take advantage of the expanding market, and it is the vendors with the widest product portfolios that will be most successful.

ABI Research provides in-depth analysis and quantitative forecasting of trends in global connectivity and other emerging technologies.

 

world's smallest transceiverABI Research finds that Mediatek has delivered the world’s smallest multimode transceiver. Coming just a week after Qualcomm announced its intentions to produce RF front ends for high tier LTE smartphones, Mediatek releases the world’s smallest RF transceiver which is also the world’s first 40nm transceiver.

The transceiver (MT6167) accompanies the widely publicized MT6589 quad-core application processor/3G modem and the MT6320 power management unit (PMU). The transceiver measures less than 7sq mm and supports 2G and 3G protocols. The norm for transceivers with this functionality is above 20 sq. mm. Qualcomm’s current solution measures in slightly above 25 sq.mm.

“This sharp contrast in die size reflects on more than just design expertise though, it gives a glimpse into the company’s mindset” said Jime Mielke, VP of engineering at ABI research. “Qualcomm continues to push the technology envelope (additional computing power, higher data rates, etc.) while Mediatek follows slightly behind, aiming for the most cost effective and power conscious solutions.” Mielke continues, “Qualcomm will remain the leader of the pack as long as additional technology is required but once the mobile device reaches a point where consumers are satisfied with performance, watch for Mediatek and companies with the same mindset to come on very strong.”

The MT6589 chips also perform well. The chipset measured 40% less power in 2G talk modes and 30% less in 3G talk modes compared to the prior MT6577 solution (as measured in ABI Research’s teardown laboratory). The quad core A7’s provide a smooth UI and plenty of mobile power.

The MT6589 chipset is just one of the Teardowns ABI Research has in its Teardowns Research Service. The complete teardown includes dismantling photos, HD board photos, part list, major component teardowns, die photos, X-rays, a complete set of power measurements, and a block diagram.

The photonics industry gathered in Washington, D.C., to engage in a discussion about a national photonics initiative.

More than 100 representatives from government and the photonics industry convened in Washington, D.C., on February 28 to identify focus areas for a national photonics initiative (NPI), engaging academia, industry, and government in a collaboration to address barriers to continued U.S. leadership in photonics.

Titled “Optics & Photonics: Lighting A Path for the Future,” the event was organized by SPIE, the international society for optics and photonics, in partnership with four other technical organizations. The meeting included briefings by subcommittees and industry representatives on future needs, and perspectives of technology experts from the five key optics and photonics sectors — communication, defense, health and medicine, manufacturing, and energy –on how focus ideas for the NPI.

Recommendations are expected to be released later this month.

Establishment of the NPI was a key recommendation of the groundbreaking National Academy of Sciences report “Optics & Photonics, Essential Technologies for Our Nation” released in August 2012.

Last week’s event was attended by representatives of numerous government labs and agencies, such as the Department of Energy, National Institute of Standards and Technology, DARPA, the National Science Foundation, Office of Naval Research, and NASA. Industry representatives included attendees from Corning, Agilent, Northrup-Grumman, Alacatel-Lucent, and IBM.

Speakers touched on issues such as decreasing numbers of U.S. STEM (science, technology, engineering, and mathematics) graduates for the next generation of the workforce, the increased investment by other national governments in science and technology, and the lack of a cohesive photonics R&D direction in the U.S. in the face of well-defined initiatives in several other countries.

Read more on Europe’s plans for a single semiconductor strategy

Without a cohesive policy in support of photonics advances, speakers warned, the U.S. will slip from its place of technology leadership, manufacturing will continue to shift outside the U.S., and forward progress in photonics-enabled applications in medicine, cybersecurity, broadband, bridge and highway infrastructure safety, and other areas will be impaired.

“Photonics is a critical enabler for our high-tech economy,” said Paul McManamon, one of several members of the report that produced the committee who attended last week’s event. “The Internet, MRIs and CAT scans, and space mission spin-offs such as optical blood diagnostic instruments and infrared cameras that indicate hot spots in a fire are just a few examples of photonics-enabled applications. If the U.S. wants to retain high-tech leadership and jobs, we need the National Photonics Initiative.”

Committee members Alan Willner, Tom Baer, and Edward White, also attended and participated in a panel discussion.

Along with SPIE, sponsoring organizations included the Optical Society (OSA), IEEE Photonics Society, American Physical Society, and the Laser Institute of America.

SPIE is the international society for optics and photonics, a not-for-profit organization founded in 1955 to advance light-based technologies. The Society serves nearly 225,000 constituents from approximately 150 countries, offering conferences, continuing education, books, journals, and a digital library in support of interdisciplinary information exchange, professional networking, and patent precedent. SPIE provided over $3.2 million in support of education and outreach programs in 2012.

AIXTRON SE, a provider of deposition equipment to the semiconductor industry, last week announced revenues and minimal EBIT loss for the fiscal year 2012.

A slow recovery of revenues but a virtually flat order intake throughout the year reflects a reluctant investment attitude by customers and a continuation of macroeconomic uncertainty, said AIXTRON officials. Despite an improving market consensus on the potential outlook for the back end of 2013, management is unable at this stage to offer a precise revenue and EBIT margin guidance for the year, due to the prevailing low visibility.

Management expects demand for MOCVD production equipment to potentially improve as demand for LEDs increases later in the current year. On the same timeline, management also foresees incremental equipment demand coming from non-LED emerging MOCVD applications and other technology markets, including silicon and organic semiconductor applications. Nevertheless, the exact timing of that order intake pickup is difficult to predict whilst order visibility remains so low.

“2012 proved to be an exceptionally challenging year for AIXTRON, largely due to the severe and extended macroeconomic headwinds that the whole world has been suffering from,” said Paul Hyland, President and Chief Executive Officer of AIXTRON. “Our original expectation that 2012 would develop into a transitory year with the prospect of a significantly better second half has evidently not materialized.

However, the ongoing, albeit low level of demand seen in the second half of 2012 and into 2013, has AIXTRON’s management believing they have reached the bottom of the current cycle. Officials from AIXTRON say it is reasonable to expect to see further market recovery at some point during 2013, driven by increasing demand for LED manufacturing equipment.

AIXTRON also believes they will see an increased demand for the equipment for non-LED applications. AIXTRON management plans to focus now on cost control and cash flow, while continuing R&D investments into future market opportunities.

Over 2,000 industry professionals attended last week’s SPIE Advanced Lithography, where important progress reports were revealed on extreme ultraviolet (EUV), lithography, directed self-assembly (DSA), metrology, and related topics. The event ran February 24-28 in San Jose, California.

"There was much discussion about the continuation of Moore’s Law, both in terms of the technical ability to shrink as well as the cost," said symposium chair Harry Levinson of GLOBALFOUNDRIES. "Achievement of a significant milestone for EUV sources that should enable more rapid progress in EUV lithography was reported, as was the potential for a EUV pellicle — a welcomed prospect.  And EUV extension to higher resolution, where we face many challenges, was the subject of many papers."

On Thursday morning, Cymer announced that they had met "key milestones" in recent tests of their EUV technology in an ASML lithography scanner.

David Brandt, Cymer’s Senior Director of EUV Marketing, reported new results for EUV power output as a light source for lithography, including a key announcement of 40 watts of EUV light in continuous operation using MOPA Prepulse technology. Hear more in the SPIE Newsroom video interview.

"In addition to the good news of EUV source power achievements, there were first signs of considerations for EUV adoption in high volume, coming from infrastructure development such as EUV mask actinic inspection, EUV mask OPC, and EUV lithography integration in a full CMOS flow with yield-defectivity investigations," said symposium co-chair Mircea Dusa (ASML US).

DSA continued to be a subject of high interest, Dusa said: "What caught my attention was the modeling developments combined with applicability on real circuits: a major milestone for future potential adoption."

Levinson and Dusa also noted the event’s high level of topical synergy evidenced by multiple joint sessions on topics such as lithographic solutions for chip-design layout, and its characteristic large conference-room audiences, particularly in the 2-year-old Etch conference and the 27-year-old Metrology and Process Control conference.

A well-trafficked two-day exhibition connected attendees with semiconductor suppliers, integrators, and optical device manufacturers, and a set of professional development courses on EUV lithography, DSA, optical lithography, FEOL/MOL/BEOL lithography, and related technologies began the week.

Click here to read day-to-day reports from the conference, including full audio and slides from plenary talks.

Accepted conference proceedings papers will be published in the SPIE Digital Library as soon as approved after the meeting, and in print volumes and digital collections.

Next year’s event will return to the San Jose Marriott and San Jose Convention Center, with conferences and professional development courses 23-27 February and the exhibition 25-26 February.

SPIE is the international society for optics and photonics, a not-for-profit organization founded in 1955 to advance light-based technologies. The Society serves nearly 225,000 constituents from approximately 150 countries, offering conferences, continuing education, books, journals, and a digital library in support of interdisciplinary information exchange, professional networking, and patent precedent. SPIE provided over $3.2 million in support of education and outreach programs in 2012.

 

 

STMicroelectronics and CMP announced today that ST’s H9A CMOS process (at 130nm lithography node), which offers a large panel of analog and digital devices, is now available for prototyping to universities, research labs and design companies through the silicon brokerage services provided by CMP. The diffusion of the silicon wafers will take place at the ST plant in Rousset, near Aix-en-Provence (France). ST is releasing this process technology to third parties as a foundry service for a well-established analog platform and for new developments in the More than Moore applications such as energy harvesting, autonomous intelligence, and home-automation integrated systems.

The introduction in CMP’s catalogue of ST’s H9A (and its derivative H9A_EH) process builds on the successful collaboration that has allowed universities and design firms to access leading-edge and previous CMOS generations including 28nm CMOS, 45nm (introduced in 2008), 65nm (introduced in 2006), 90nm (introduced in 2004), and 130nm (introduced in 2003) through the ST Site of Crolles.  CMP’s clients also have access to 28nm FD-SOI, 65nm SOI and 130nm SOI (Silicon-On-Insulator), as well as 130nm SiGe processes from STMicroelectronics. More than 200 universities and companies have received the design rules and design kits for the ST 65nm bulk and SOI CMOS processes. Since CMP started offering the ST 28nm CMOS bulk technology in 2011, over 100 universities and microelectronics companies have received the design rules and design kits, and over 30 integrated circuits (ICs) have already been manufactured. Since CMP introduced the 28nm FD-SOI, over 30 universities and microelectronics companies have received the design rules and design kits.

“There has been a great interest in designing ICs using these processes, with about 300 projects having been designed in 90nm, which was phased out in 2009, and more than 350 already in bulk 65nm,” said Bernard Courtois, director of CMP. “In addition, more than 60 projects have already been designed in 65nm SOI and many top universities in Europe, USA/Canada and Asia have already taken advantage of the collaboration between CMP and ST.”

The CMP multi-project wafer service allows organizations to obtain small quantities–typically from a few dozens to a few thousand units–of advanced ICs. H9A design rules and design kits are now available for universities and microelectronics companies and the first requests are already being answered. A run is forecast for September 2013 to carry the first contributions.

ST will propose ULP/ULQC devices (Ultra Low Power, Ultra Low Quiescent Current) in the next Design Kit (DK) generations as this is a requirement for harvesting low-energy sources and for long-life autonomous intelligent systems.Semiconductor technology leaders ST and CMP help universities, research labs and companies prototype next generation of Systems-on-Chip.