Category Archives: Editors Picks

Over 2,000 industry professionals attended last week’s SPIE Advanced Lithography, where important progress reports were revealed on extreme ultraviolet (EUV), lithography, directed self-assembly (DSA), metrology, and related topics. The event ran February 24-28 in San Jose, California.

"There was much discussion about the continuation of Moore’s Law, both in terms of the technical ability to shrink as well as the cost," said symposium chair Harry Levinson of GLOBALFOUNDRIES. "Achievement of a significant milestone for EUV sources that should enable more rapid progress in EUV lithography was reported, as was the potential for a EUV pellicle — a welcomed prospect.  And EUV extension to higher resolution, where we face many challenges, was the subject of many papers."

On Thursday morning, Cymer announced that they had met "key milestones" in recent tests of their EUV technology in an ASML lithography scanner.

David Brandt, Cymer’s Senior Director of EUV Marketing, reported new results for EUV power output as a light source for lithography, including a key announcement of 40 watts of EUV light in continuous operation using MOPA Prepulse technology. Hear more in the SPIE Newsroom video interview.

"In addition to the good news of EUV source power achievements, there were first signs of considerations for EUV adoption in high volume, coming from infrastructure development such as EUV mask actinic inspection, EUV mask OPC, and EUV lithography integration in a full CMOS flow with yield-defectivity investigations," said symposium co-chair Mircea Dusa (ASML US).

DSA continued to be a subject of high interest, Dusa said: "What caught my attention was the modeling developments combined with applicability on real circuits: a major milestone for future potential adoption."

Levinson and Dusa also noted the event’s high level of topical synergy evidenced by multiple joint sessions on topics such as lithographic solutions for chip-design layout, and its characteristic large conference-room audiences, particularly in the 2-year-old Etch conference and the 27-year-old Metrology and Process Control conference.

A well-trafficked two-day exhibition connected attendees with semiconductor suppliers, integrators, and optical device manufacturers, and a set of professional development courses on EUV lithography, DSA, optical lithography, FEOL/MOL/BEOL lithography, and related technologies began the week.

Click here to read day-to-day reports from the conference, including full audio and slides from plenary talks.

Accepted conference proceedings papers will be published in the SPIE Digital Library as soon as approved after the meeting, and in print volumes and digital collections.

Next year’s event will return to the San Jose Marriott and San Jose Convention Center, with conferences and professional development courses 23-27 February and the exhibition 25-26 February.

SPIE is the international society for optics and photonics, a not-for-profit organization founded in 1955 to advance light-based technologies. The Society serves nearly 225,000 constituents from approximately 150 countries, offering conferences, continuing education, books, journals, and a digital library in support of interdisciplinary information exchange, professional networking, and patent precedent. SPIE provided over $3.2 million in support of education and outreach programs in 2012.

 

 

Samsung Vice-President Kwon Oh-hyun released a statement today, apologizing for the fatal hydrofluoric acid spill that left one worker dead and four others injured. According to the Wall Street Journal, Kwon said that the company will “fundamentally change” its environmental safety system and investigate its processes to ensure that such an accident never happens again. Additionally, Samsung also plans to withdraw its application for the plant, located in Hwaseong, Korea, certified as “green,” with no intention of resubmitting the application for the next five years.  

The accident, which occurred in late January, has resulted in a fair amount of controversy for Samsung: after initially being investigated for covering up the leak, the Korean police denied Samsung’s statement that the accident that the leak was contained.

“We’ve always taken great pride in the high standards we set for our operations and the safety measures we have in place,” Samsung’s spokesperson told the Wall Street Journal. “In keeping with our commitment to operating high-quality facilities, we are committed to continually making enhancements to our protocols to ensure we are protecting the safety and well-being of our employees, partners and the local community.”

Hydroflouric acid, both in liquid and vapor forms, can cause severe burns, which may or may not be visible immediately after exposure. HF penetrates the skin, causing damage to the underlying tissues, including bones and organs, in severe cases. Inhalation of HF vapors can also cause burns in the mouth, esophagus and lungs. Click here to learn more about what your first response to an HF spill should be.

Are you prepared for cleanroom disaster?

Chances of a catastrophic cleanroom incident are typically slight, but even semiconductor giants like Samsung are not immune to the possibility. Bryan Swales is managing director of Relelectronic-Remech, which specializes in the recovery of technical equipment following contamination and damage events. He writes that developing an incident recovery plan pre-disaster is key to weathering both the immediate physical dangers and the public relations mess that can ensue after a cleanroom disaster. The plan, Swales said, should identify each type of disaster which could occur, and define how the organization will react to each.

“When properly developed and implemented, including ongoing training of all relevant personnel,” said Swales, “an incident recovery plan represents a pro-active, designed-in emergency response and management program unique to the organization and covering all ‘foreseeable’ disastrous events.”

Despite its high 19% CAGR, Flip-chip is not new – in fact, it was first introduced by IBM over 30 years ago. As such, it would be easy to consider it an old, uninteresting, mature technology, but this is far from true. Instead, Flip-Chip is keeping up with the times and developing new bumping solutions to serve the most advanced technologies, like 3D IC and 2.5D. No matter what packaging technology you’re using, a bumping step is always required at the end. In 2012, bumping technologies accounted for 81% of the total installed capacity in the middle end area. That’s big. Really big. So big that it represents 14M+ 12’’eq wafers – and fab loading rates are high as well, especially for the Cu pillar platform (88%). Flip-Chip is also big on value: in 2012 it was a $20B market (making it the biggest market in the middle-end area), and Yole Développement expects it to continue growing at a 9% clip, ultimately reaching $35 billion by 2018.

Flip-Chip capacity is expected to grow over the next five years to meet large demand from three main areas:

1) CMOS 28nm IC, including new applications like APE and BB

2) The next generation of DDR Memory

3) 3DIC/2.5D interposer using micro-bumping.

Driven by these applications, Cu pillar is on its way to becoming the interconnect of choice for Flip-Chip.

In addition to traditional applications which have used Flip-Chip for a while now (laptop, desktop and their CPUs, GPUs & Chipsets – which are growing slowly but still represent significant production volumes for Flip-Chip), Yole Développement’s analyst expects to see strong demand from mobile & wireless (smartphones), consumer applications (tablets, smart TV, set top box), computing and high performance/ industrial applications such as network, servers, data centers and HPC.

The new “Flip-Chip packaged ICs” are expected to radically alter the market landscape with new specific motivations that will drive demand for wafer bumping.

“In the context of 3D integration and the ‘More than Moore’ approach, Flip-Chip is one of the key technology bricks and will help enable more sophisticated system on chip integration than ever before,” says Lionel Cadix, Market & Technology Analyst, Advanced Packaging, at Yole Développement.

Flip-Chip is being reshaped by a new kind of demand that is hungry for Cu pillars and micro-bumps, which are on their way to becoming the new mainstream bumping metallurgy for die interconnection.

Meanwhile, Cu pillar is fast becoming the interconnect of choice for advanced CMOS (≤28nm), memory, and micro-bumping for 2.5D interposer and 3D IC.

In addition to studying mainstream bumping technologies, the Yole Développement report focuses on Cu pillar bumping, which is becoming increasingly popular for a wide variety of applications. The massive adoption of Cu pillars is motivated by a combination of several drivers, including very fine pitch, no UBM needed, high Z standoff, etc. Cu pillar Flip-Chip is expected to grow at a 35% CAGR between 2010-2018 in terms of wafer count. Production is already high at Intel – and by 2014, more than 50% of bumped wafers for Flip-Chip will be equipped with Cu pillars.

As early as 2013, micro-bumping for 2.5D & 3D IC, in conjunction with new applications like APE, DDR memory, etc., will boost Flip-Chip demand and create new challenges and new technological developments (see figure on the left). Today, Flip- Chip is available in a wide range of pitches to answer the specific needs of every application. The ultimate evolution in bumping technologies will consist of directly bonding IC with copper pads. 3D integration of ICs using this bump-less Cu-Cu bonding is expected to provide an IC-to-IC connection density higher than 4 x 105 cm-2, making it suitable for future wafer-level 3D integration of IC in order to augment Moore’s Law scaling.

Taiwan is the #1 location for Flip-Chip bumping

The major OSATs are preparing to produce fcBGA based Cu pillar packages and won’t limit the reach of cu pillar bumping to fcCSP. This will allow every company involved in CPU, GPU Chipset, APE, BB, ASIC, FPGA and Memory to access Cu pillar Flip-Chip technology. Cu pillar capacity is expected to grow rapidly over the 2010 – 2014 timeframe (31% CAGR), hitting ~9M wspy by 2014 and supporting the growing demand for micro-bumping and advanced CMOS IC bumping.

In the mutating middle-end area, CMOS foundries now propose wafer bumping services (TSMC, GLOBALFOUNDRIES, etc.), as opposed to bumping houses, which are dedicated to bumping operations (FCI, Nepes, etc.), and OSATs, which keep investing in advanced bumping technologies. In 2012, OSATs owned 31% of installed capacity in ECD solder bumping and 22% of installed capacity in Cu pillar bumping. A full overview of 2012 installed capacities for all bumping platforms is provided in this report.

Concerning geography, Taiwan has the biggest overall bumping capacity (regardless of the metallurgy), with important capacity coming from foundries and OSAT factories. Taiwan currently leads the outsourcing “solder & copper” Flip-Chip wafer bumping market. Flip-Chip market growth, spurred on by the emergence of the “middle-end” environment, has challenged traditional “IDM vs. fabless” supply chain possibilities more than ever before.

Corning, Apple’s glass supplier, announced yesterday that it will probably take at least three years before companies start making flexible displays using its new Willow flexible glass material.

Speaking with Bloomberg, Corning president James Clappin says that products with flexible displays are likely still three years out, adding that it’s now busy making "a lot of effort" to teach what it describes as "very big name" companies how to fully use the product. The glass has been rolled out as companies, such as Google, are considering launching wearable computers.

Clappin told reporters that companies have yet to come up with products that take advantage of Willow glass. The glass can be rolled up like a newspaper, allowing companies to make curved or flexible displays. Clappin believes people are not accustomed to glass you roll up.

Willow glass may be used in some simple products this year, said Clappin. Examples of these products could be thin films behind some touch panels or a flexible barrier for solar panels.

Corning said they have sent out samples of the flexible glass to makers of phones, tablets and TVs in June. Corning CFO, James Flaws, at the time said that the company hoped it would be available in consumer products this year.

At the International Semiconductor Strategy Symposium (ISS Europe), the European semiconductor industry affirmed its ability to innovate. More than 170 top industry representatives agreed on a number of joint steps and strategic measures to strengthen their competitiveness and sustainability. The controversial question whether the best way to attack future challenges will be "More Moore" or "More than Moore," ended in an expected compromise, namely that the industry should pursuit both strategies concurrently, the participants of a panel expressed. Whilst the More than Moore sector is traditionally strong in Europe, going on with More Moore is important for two to three device makers in Europe and in particular for the European equipment suppliers which export 80% of their products.

In a global scale, the semiconductor industry is approaching the move to 450mm wafer processing technology – a step that promises to greatly boost the productivity of semiconductor manufacturers. However, since the investment to build a 450mm fab easily exceeds the 10 billion dollar mark, this move is regarded as risky and, for this reason, reserved to only the very largest enterprises. In the past, this perspective divided the European industry into two camps – the "More Moore" group that advocates taking on the 450mm challenge, and the "More than Moore" group which shunned this risky investment and preferred to rely on application-oriented differentiation instead.

At the event SEMI Europe, an industry association embracing enterprises that represent the entire value chain and organizer of the ISS Europe, set up a high-ranking panel discussion on options and choices of a single European semiconductor strategy. The panel proved that entrepreneurial spirit is well alive among Europe’s chipmakers, technology suppliers and researchers.

Time is ripe to close the ranks and take on the challenges, as the speakers in the panel pointed out. Judged on the basis of its expertise and abilities, the European semiconductor and equipment industry has remarkable strengths, the experts said unanimously.

"We have to think in European terms," said Luc Van den hove, CEO of the Belgian research center Imec. "Talking in a common voice allows the European Commission to act and support this industry".

Jean-Marc Chery, Chief Manufacturing & Technology Officer of chipmaker STMicroelectronics, reminded that a holistic approach is necessary. "We have to push the full value chain cooperatively," he said.

The panel participants recognized that the European semiconductor industry possesses the necessary expertise. So far, the willingness to jointly face these challenges has been affected adversely by the macroeconomic environment and the Euro crisis, which discouraged far-reaching strategic decisions. The members of the European Commission that recently signalized understanding the needs of the semiconductor industry’s vital role for the high-tech location Europe, certainly contributed to the optimism in the industry.

"We have all the knowledge, the materials and the equipment," said Rob Hartman, Director Strategic Program for leading equipment manufacturer ASML, during the panel. "Let’s do it in the EU."

European Commissioner Neelie Kroes’ idea of creating an "Airbus for chips," a European initiative for the semiconductor industry comparable to the initiative that once led to the launch of the Airbus in the aviation industry, was strongly hailed by the panel.

"An Airbus for chips could be a very powerful tool," Van der hove said. "It does not need to be a single company, it also can be a framework of companies," added Laurent Malier, CEO of French research centre CEA-LETI.

The main concern of the industry is the slow decision process of the European institutions due to a complex political approval process inside of the European Union, the participants agreed. This industry is moving fast and so the decisions have to be taken fast, too. The strong Euro and the lack of qualified labor are further regarded as potential stumbling blocks for the technological progress and the business competitiveness.

At the panel the European Commission signalized its support for the industry as well.

“If policy instruments would be combined on EU and national levels, a critical mass of support for R&D for both More than Moore and More Moore could be achieved,” said Khalil Rouhana, Director Components & Systems at the European Commission.

Yole Développement’s research has credited STMicroelectronics for capitalizing on the booming demand for MEMS in mobile devices by shipping 58% more MEMS units in 2012, to become the first company to reach $1 billion in MEMS sales. And that was in a year when the average prices of accelerometers and gyroscopes that are its core MEMS products dropped by 20%-30%.

“The company was there and ready with its 8-inch fab when the volume demand started, as well as a large portfolio of products and low prices,” said Laurent Robin, Activity Leader, Inertial MEMS Devices & Technologies at Yole Développement. “They could use a feed-the-fab-strategy to build volumes, and discounts for buyers of multiple devices to meet the price demands of the cell phone makers.”

“Even more than Yole Développement’s recognition of ST’s achieving the revenue milestone, we appreciate the endorsement from our customers, across a broad range of applications and segments, of our strategy of being a reliable one-stop MEMS partner,” said Benedetto Vigna, Executive Vice President and General Manager of STMicroelectronics Analog, MEMS and Sensors Group. “We remain fully committed to continuing to meet our customers’ expectations and to expanding the role of sensors in ways that augment all of our lives.”

The morphing of the MEMS industry into a high volume consumer smart phone business has played to the advantage of big IDMs with their ability to ramp volumes to price aggressively, and to offer customers a wide variety of products from a single source to simplify the supply chain. The inertial sensor business also drove healthy 14% MEMS growth at Robert Bosch, boosting that big IDM’s sales close to those of long time industry leader Texas Instruments in a further reshuffling of the top companies lineup. Yole Développement will release its complete listing of the Top 30 MEMS companies early in April.

ST is now churning out some 4 million MEMS devices a day, offering not only inertial sensors but also now consumer pressure sensors, microphones, and e-compasses. The fully-integrated supplier has been able to optimize all steps in the process to wring out costs, from its mature standard manufacturing process for all inertial sensors, to its inhouse ASIC design, to its long expertise in common LGA packaging across all products, to its high volume parallel testing developed on commercial equipment with SPEA, to its sales force that can sell and deal on the whole smart phone sensor line. The company has also pushed the manufacturing technology to bring down die size, replacing glass frit with narrower gold bonding frames and replacing big bond pads with smaller TSVs made by etching air gaps around polysilicon vias. And it turned to outside partnerships (microphone technology from Omron) and purchases (magnetometers from Honeywell) to get new products to market faster.

Silicon nanocrystals have a size of a few nanometers and possess a high luminous potential. Scientists of Karlsruhe Institute of Technology (KIT) and the University of Toronto/Canada have now succeeded in manufacturing silicon-based light-emitting diodes (SiLEDs). They are free of heavy metals and can emit light in various colors.

Liquid-processed SiLEDs: By changing the size of the silicon nanocrystals, color of the light emitted can be varied. (Photo: F. Maier-Flaig, KIT/LTI)

Silicon dominates in microelectronics and photovoltaics industry, but has been considered unsuitable for light-emitting diodes for a long time. However, this is not true for nanoscopic dimensions: Minute silicon nanocrystals can produce light. These nanocrystals consist of a few hundred to thousand atoms and have a considerable potential as highly efficient light emitters, as was demonstrated by the team of Professor Uli Lemmer and Professor Annie K. Powell from KIT as well as Professor Geoffrey A. Ozin from the University of Toronto. In a joint project, the scientists have now succeeded in manufacturing highly efficient light-emitting diodes from the silicon nanocrystals.

So far, manufacture of silicon light-emitting diodes has been limited to the red visible spectral range and the near infrared.

“Controlled manufacture of diodes emitting multicolor light, however, is an absolutely novelty,” explains Florian Maier-Flaig, scientist of the Light Technology Institute (LTI) of KIT and doctoral student of the Karlsruhe School of Optics and Photonics (KSOP). KIT scientists specifically adjust the color of the light emitted by the diodes by separating nanoparticles depending on their size.

 “Moreover, our light-emitting diodes have a surprising long-term stability that has not been reached before,” Maier-Flaig reports.

The increased service life of the components in operation is due to the use of nanoparticles of one size only. This enhances the stability of the sensitive thin-film components. Short circuits due to oversized particles are excluded.

The development made by the researchers from Karlsruhe and Toronto is also characterized by an impressing homogeneity of the luminous areas. The KIT researchers are among the few teams in the world that know how to manufacture such devices.

“With the liquid-processed silicon LEDs that may potentially be produced on large areas as well as at low costs, the nanoparticle community enters new territory, the associated potentials of which can hardly be estimated today. But presumably, textbooks about semiconductor components have to be rewritten,” says Geoffrey A. Ozin, who is presently working as a KIT distinguished research fellow at KIT’s Center for Functional Nanostructures (CFN).

The SiLEDs also have the advantage that they do not contain any heavy metals. In contrast to cadmium selenide, cadmium sulfide or lead sulfide used by other groups of researchers, the silicon used by this group for the light-emitting nanoparticles is not toxic. Moreover, it is available at low costs and highly abundant on earth. Due to their many advantages, the SiLEDs will be developed further in cooperation with other partners.

It is a fact that semiconductor industry capital spending is becoming more concentrated with a greater percentage of spending coming from a shrinking number of companies.  As a result, IC industry capacity is also becoming more concentrated and this trend is especially prevalent in 300mm wafer technology.  The figure below lists the 300mm installed capacity leaders for 2012 and IC Insights’ forecast for 2013.  The list was compiled and included in IC Insights’ updated report titled, Global Wafer Capacity 2013—Detailed Analysis and Forecast of the IC Industry’s Wafer Fab Capacity.    As shown, Samsung was by far the leader in 2012 having about 61% more 300mm capacity than second-place SK Hynix. Intel was the only other company that held a double-digit share of 300mm capacity at the end of 2012.  Assuming Micron is successful in acquiring Elpida in 1H13, the combined 300mm wafer capacity of the two companies will make the merged company the second-largest holder of 300mm capacity in the world behind Samsung.

 Of the top 10 companies on the list, half are primarily memory suppliers, two are pure-play foundries, and one company, Intel, is focused on MPUs.  Samsung is expected to maintain its lead in installed capacity through 2017, with aggressive capital spending plans seen over the past few years continuing over the next five years.  However, in terms of growth rate, IC Insights expects the largest increase in 300mm capacity to come from the pure-play foundries—TSMC, GlobalFoundries, UMC, and SMIC.  In total, IC Insights expects these four companies to more than double their collective 300mm wafer starts per month by 2017.

 IC Insights believes that the companies listed will represent essentially all the advanced 300mm IC production and capacity in the future.  IC Insights believes that the top seven or eight companies—Samsung, “Micron-Elpida,” TSMC, SK Hynix, Intel, Toshiba/SanDisk, and GlobalFoundries—can be considered an “elite” group that is just about guaranteed to be a driving force in 300mm capacity additions.  The remaining companies are likely to participate in future 300mm capacity expansion, but all have varying degrees of risk associated with fully realizing their long-term 300mm IC production capacity goals.

Meanwhile, there is still much uncertainty as to when the industry will make the next wafer-size transition—from 300mm to 450mm—and how much it will cost to do so, but momentum continues to build and the transition can now be considered certain to happen.  IC manufacturers have yet to fully optimize the high-volume manufacturing cost structure for the 300mm wafer size.  However, the potential per-die cost savings that the larger wafer can provide is enough of a motivating factor to make the transition happen.

Gigaphoton, Inc., a major lithography light source manufacturer, announced today that the company has achieved EUV light output equivalent to maximum of 20W for its laser-produced plasma, or LPP light sources for EUV lithography scanners. This result was obtained by irradiating the Sn target with a solid-state pre-pulse laser and a CO2 laser at 100kHz. An average EUV output of 10W was confirmed during operation. Considering that the current commercially accepted EUV output levels are around 10W, the results demonstrated by Gigaphoton represents that a critical milestone has been reached for pre-production level output.

Gigaphoton has focused on developing high output, stable, and economical LPP light sources since 2002. Since that time, Gigaphoton has introduced several unique technologies including the development of on-demand tin droplets generator with droplets measuring less than 20μm, the combining of short wavelength solid-state pre-pulse lasers and CO2 lasers as a main pulse and the utilization of magnetic field for debris mitigation.

The unique LPP light source technology introduced by Gigaphoton extends the lifetime of droplet generators by utilizing ultra-small tin droplets on-demand, reducing downtime and cost. In addition, high EUV output conversion efficiency has been achieved through the optimized combination of short wavelength solid-state pre-pulse laser and CO2 laser as the main pulse. This technology contributes to the real possibility of achieving efficient, high output EUV light sources. In order to maximize the life of the collector mirror, a superconducting magnet is used to generate a powerful magnetic field that guides the unwanted debris resulting from the thermal expansion of the tin droplets towards the tin catcher. This results in further reduction of cost and downtime.

"The fact that our unique LPP light source technology is now able to achieve the level of EUV output matching that of today’s pre-production performance, proves that our vision for high output, low running cost, stable LPP light sources are indeed achievable," said Hitoshi Tomaru, President and CEO of Gigaphoton. "Our efforts will help to bring the industry closer to realizing mass production level EUV lithography scanners. We are making firm progress towards our entry into the EUV light source business – focusing on technology development to accommodate the future industry needs."

The latest details of Gigaphoton’s LPP light source technology will be presented at the SPIE Advanced Lithography 2013, being held in San Jose, California from February 24 through the 28.  Gigaphoton has developed and delivered DUV laser light sources used by major semiconductor chipmakers in the Pan-Asian, US and European regions since its founding in 2000.

Smartphones and media tablets continue to the prime movers of technology industries, with the two mobile platforms spurring a double-digit increase in the market for microelectromechanical system (MEMS) motion sensors this year.

Revenue this year for MEMS motion sensors used in cellphones and tablets will amount to $1.5 billion, up 13 percent from $1.3 billion in 2012, according to the an IHS iSuppli MEMS Special Report from information and analytics provider HIS. While this will be down from the robust 21 percent increase in 2012 and the phenomenal 85 percent boom in 2011, it still represents a strong rise compared to the tepid growth expected for most electronic components during 2013.

After 2013, there will be two more years of double-digit increases before the market starts moderating in 2016 with $2.21 billion. By then, more than 6 billion motion sensors will ship in mobile handsets and tablets, up from just 1.6 billion units in 2011.

“The growth of MEMS motions sensors in wireless devices is being driven by four key factors: the robust sales of smartphones and tablets; the boom of Chinese smartphone makers; the fast adoption rate of pressure sensors; and the addition in some cases of a second gyroscope in the camera modules for optical image stabilization,” said Jérémie Bouchaud, director and senior principal analyst for MEMS & sensors at IHS.

Earlier forecasts showing the market would slow by 2014 will no longer be true given new vigor in the industry because of these four variables, IHS believes.

Apple sets market in motion

First initiated by Apple in its iPhone for auto screen rotation, motion sensors have grown to become one of the most dynamic segments in the overall MEMS market, paving the way for next-generation, gesture-based menu navigation in the user interface of cellphones.

While accelerometers and electronic compasses are already standard in smartphones, other MEMS devices are also gaining heavy traction. Pressure sensors that can help with indoor navigation came to greater prominence in 2012 as Samsung adopted the MEMS device in high-end smartphones more aggressively than expected. After Samsung, Sony and other smaller handset manufacturers, such as Xiaomi from China, also started equipping smartphones with pressure sensors.

Axis power

A new motion sensor likewise is making headway this year in the form of dual-axis gyroscopes, intended for optical image stabilization (OIS) in the camera module of handsets. The new sensor is in addition to the 3-axis gyroscope already found on the main printed circuit boards of handsets. As the camera function increasingly becomes a key differentiator in mid- and high-end smartphones, OIS will become a key feature in camera phones of more than 8 megapixels.

Gray market fades

Also helping spur the motion sensor market in 2012 was a dramatic surge in the number of legitimate, officially sanctioned smartphones in China—as opposed to the hordes of illegal, gray-market handsets still widely proliferating in that country.

The number of authorized smartphones produced by Chinese handset original equipment manufacturers (OEM) exceeded 150 million units last year, up from 67 million in 2011. The Chinese-made handsets now all feature at least one accelerometer, with compasses and gyroscopes expected to be integrated later. Smartphone shipments from Chinese OEMs will continue to climb in the next few years, further stoking the MEMS motion sensor market for handsets.

Combo sensors enjoy fast growth

While discrete MEMS motion sensor devices like accelerometers, gyroscopes and electronic compasses continue to be the major revenue earners, the combo sensor market—in which several sensors are integrated into a module—is also expanding rapidly.

In terms of revenue, approximately 16 percent of motion sensors were shipped as part of a combo sensor in 2012, up from just 3 percent in 2011, on the way to 53 percent by 2016. Six-axis inertial measurements units (IMU) comprising a 3-axis accelerometer and a 3-axis gyroscope in the same package will be the most popular combo sensor, ahead of 6-axis compasses and 9-axis IMUs.

Controlling the market: the biggest buyers—and their suppliers

Apple and Samsung were the biggest buyers in 2012 of motion sensors in handsets, accounting for 57 percent of consumption, up from just 25 percent in 2009. The American and South Korean giants have now surpassed Nokia as the top purchasers. Also rising to become a major force is the group of Chinese OEMs including Huawei, ZTE, Lenovo and Coolpad, along with a number of other smaller China-based players.

On the supply side, four suppliers claimed 84 percent of total motion sensor revenue last year.

French-Italian STMicroelectronics led the field with a 48 percent share, followed by Japan’s AKM with 18 percent, German-based Bosch with 10 percent and InvenSense from California with 9 percent.

MEMS motion sensor
By Haraldino80 (Own work) via Wikimedia Commons