Category Archives: LEDs

July 26, 2011 – Marketwire — Nuventix, LED cooling technology developer, received capital from GE (NYSE:GE) and other investors. GE will also license Nuventix’s patent portfolio.

Nuventix raised $10 million in the investment round, which included GE Energy Financial Services (as part of GE’s ecomagination Challenge) and The Bergquist Company joining existing investors Braemar Energy Ventures, CenterPoint Ventures, InterWest Partners and Rho Ventures. Investments from each firm participating were not disclosed. The funding will support Nuventix’s global expansion and further development in its LED light cooling technology.

Nuventix technology moves air to cool LEDs using a single oscillating membrane, called a SynJet, rather than cooling fans. It can be integrated into LED lighting fixtures for spot, down, track and accent lighting. SynJet works with high-brightness light engines such as GE’s Infusion LED modules.

GE Lighting’s patent license will be applied to developing reliable, longer-lasting LED products and systems. Nuventix’s SynJet technology can make LED lights "brighter, longer-lasting and potentially cheaper," said Steve Briggs, vice president of marketing and product management at GE Lighting.

The argument for LED bulbs over traditional incandescents, as well as the methods for manufacturing and packaging LEDs, are covered in LEDs are fundamentally semiconductors, running up against fab and packaging issues, by Philips Lumileds

Learn more about Nuventix at www.nuventix.com

Present at Strategies in Light 2012

Subscribe to Solid State Technology/Advanced Packaging.

July 26, 2011 – Despite the evolving confusion with other "3D" technologies, 3D IC integration has been making significant commercial strides in the last 12 months because many think it will ultimately be the low-cost, high-performance solution.

Care should be taken when searching the 3D literature these days because confusion has arisen in the news media when discussing 3D integration (with through-silicon vias), 3D packaging (i.e. package-on-package), 3D for TV (stereoscopic 3D) and "3D IC" (the latest name for Intel’s finFET transistor structure).

When examining the commercial introduction of 3D integration (with TSV) one must remember that ultimately the acceptance of new technology introductions always come down to economics and ROI. When determining the valuse of 3D integration one must compare it to the costs of the manufacturing facilities for the "next node" . Fab costs for the 22nm node are expected to approach $6B, leaving few logic or memory IDMs or foundries who can afford such costs.


Fab start-up cost comparison in US $M. (Source: GlobalFoundries)

For example, one can see that the number of players in each succeeding generation of logic node has been diminishing rapidly.


(Source: IBS, at SEMI Industry Strategy Symposium [ISS], Jan. 2010)

In addition, with design costs approaching $100 MM at the 22 node, the production volume needed to absorb design NRE keeps increasing, making use of that node limited to high volume products that can absorb such costs.


(Source: Gartner)

Thus, it is the conclusion of many practitioners that next generaton designs will move to 3D IC with TSV because they will be the low cost, increased performance solution.

Certainly, a look at the headlines since last June generated by some of the major players led by Xilinx, TSMC, Elpida and Samsung, clearly show 3D integration is entering the commercialization stage. How far it will go towards becoming a commodity technology will depend on the accuracy of the aforementioned economic considerations.

Industry analyst firm NanoMarkets has released its latest report on the transparent conductor market.  In its new report the firm states that the market opportunities for the transparent conducting oxides, polymers and nanomaterials used in display, photovoltaics and other applications  will exceed $6.9 billion in revenues by 2016.   The report notes that while the market will continue to be dominated by Indium Tin Oxide (ITO), transparent conductors based on carbon nanotubes and nanosilver are also expected to see strong growth. 

The report is titled “Transparent Conductor Markets – 2011.” An executive summary is available on the report’s information page.

This new report identifies the new business opportunities for transparent conductor materials worldwide.  The materials covered comprise ITO, other transparent conducting oxides, other ITO/TCO inks, carbon nanotube films, nanosilver and other nanometallic films and conductive polymers.  The applications considered are flat-panel displays, OLED displays, e-paper displays, touch-screen sensors, OLED lighting, thin-film photovoltaics, organic PV/DSC, antistatics and EMI/RFI shielding. Among the findings:

  • NanoMarkets is now seeing serious interest among traditional LCD makers in alternatives to ITO and other TCOs.  This is being driven by the need to reduce processing costs in the LCD industry and fears about the rising cost of ITO.  NanoMarkets predicts that ITO alternatives sold into the LCD industry will generate revenues of $690 million by 2016.
  • Carbon nanotube inks have lost some of their former market momentum due to ongoing technical issues and silver-based solutions have surpassed them.   NanoMarkets new report predicts that nanosilver-based transparent conductors will achieve more than $540 million in revenues by 2016 ahead of transparent carbon nanotube inks which should still exceed $410 million in that same time period.   NanoMarkets does still expect to see important new entrants in the carbon nanotube transparent conductor space in the near future. 
  • Despite the opening up of transparent conductor markets to ITO alternatives, NanoMarkets does not see the traditional ITO business as seriously threatened. Indeed, it expects this market to thrive; approximately doubling in size to $5.5 billion in 2016.
  • Transparent conductive polymers have already seen significant deployment as an alternative to ITO in touch-screen sensor and e-paper displays.  However, the performance of traditional PEDOT materials seems inherently limited.  Hope for improvements in transparent conductive coatings can be found in nanostructured polymers, PEDOT analogs and improved patterning technologies.

Among the firms discussed in this report are Agfa, Cambrios, Canatu,  Carestream, Chasm Technologies, Cima NanoTech, Dow Chemical, Enthone, Ferro, Heraeus, Kodak, Kurt J Lesker, Linde, NanoForge, PolyIC, Samsung, Saint-Gobain, Sigma Technologies, Suzhou NanoGrid Technology, Sumitomo, Toray and Unidym, among others.

by Michael A. Fury, Techcet Group

Click to EnlargeJuly 21, 2011 – The third and final day of the San Francisco Organic Microelectronics & Optoelectronics Workshop VII was attended almost as well as Day 1, but sport coats and neckties gave way to jeans and sneakers.

Daniel Lecloux of DuPont gave us an update on solution processing for OLED display and lighting applications. Solution processing is critical to the economic viability of high volume OLED manufacturing. High-speed printing is not a term applied lightly here — using DNS equipment, the print heads move across the glass at 2-5 m/sec, with accelerations as high as 10G. The manufacturing system technology is scalable beyond Gen 4. At the moment, the ITO, aluminum, and electron transport layers (ETL) are vapor deposited; the remainder are solution printed, and a printed ETL is now being qualified. The shortest pixel life is for blue at 30,000 hours, with red slightly better and green ~2

A four-month fab design verification project was approved for CEITEC S.A., for what is said to be the first semiconductor manufacturing facility to be built in Central and South America. CEITEC S.A. is a Brazilian company that produces application-specific standard products (ASSPs) for RFID, wireless communication and digital multimedia. The design verification project was overseen by Lotus Technical Services, one of five operational divisions of the LotusWorks based in Sligo, Ireland.

The project, at CEITEC

July 21, 2011 — Strategies in Light 2012 will take place February 7-9 in Santa Clara, CA. The Strategies in Light Advisory Board seeks abstracts for the conference, which is themed "The Booming LED Market."

The 2012 Strategies in Light Advisory Board will choose papers that appeal to the conference audience, offer strong technical content without an overt commercial sell, and have an immediate LED industry relevance.

Strategies in Light Conference attendees include LED buyers, lighting designers, corporate R&D, light system designers, product engineers, technical management, sales, marketing and financial analysts.

The call for papers is open through Monday, August 1. Abstracts should be 100-300 words, and the work should not be appearing at other LED-related conferences. Abstracts should be submitted (English language only please) via the online abstract submittal form: http://forms.events.pennnet.com/fl/forms/sil/online_abstract_main.cfm

Please note: In submitting an abstract you are indicating your availability and willingness to attend and present a paper at Strategies in Light 2012, if selected, and to comply with all deadlines. Please ensure that the speaker and author details you are providing when submitting your abstract are correct as these will be used for pre-event marketing purposes.

Suggested paper topics to consider:

Supply chain: Supply and demand, capacity constraints, availability of materials and equipment, advanced chip design and lower-cost manufacturing, epitaxial growth and processing, thermal management, advanced packaging, LED cost/performance/lifetime improvements.

LED Manufacturing: Process automation, yield management, metrology, larger substrates, refitting existing semiconductor fabs for LED manufacturing.

Technology: Color quality metrics, optical safety, test & measurement, OLEDs/other lighting technologies, control electronics (drivers, dimming, etc.), replaceable modules and light engines.

LED applications: Market growth, LED penetration and integration barriers, case studies of specific installations showing cost/performance analysis and user reaction.

Lighting: End-user (lighting designers, specifiers, architects) requirements, LED lighting design, light and color perception.

LED market: Regional- and country-specific activities to promote LED lighting, quality control and labelling programs, customer perspective on subsidies and general LED adoption, government/investor funding, standards.

For assistance on participating at the conference, please contact Karen Gallagher, Conference manager, at 1-603-891-9304 or [email protected]. Learn more at www.strategiesinlight.com.

Subscribe to Solid State Technology/Advanced Packaging.

Follow Solid State Technology on Twitter.com via editors Pete Singer, twitter.com/PetesTweetsPW and Debra Vogler, twitter.com/dvogler_PV_semi.

Or join our Facebook group

by Serge Tedesco and Didier Louis, CEA-Leti

July 20, 2011 – The Sokudo lithography forum, held on Wednesday (July 13) of the SEMICON West 2011 show, reflected the evolution, the challenges and the development needs that lithography has to address for the 2xnm node. Following are some of the highlights of this event:

GlobalFoundries announced it has chosen 193nm immersion lithography with double patterning for the 20 nm node. It highlighted the difficulties of controlling CD and CDU for bright field masks, and proposed the introduction of negative tone development (NTD) to provide better control.

Nikon pointed out the scalability potential of 193nm high-NA immersion scanners using a double-patterning strategy as its choice for lithography extension. Indeed, 1.35NA scanners with new overlay, focus, heat management and lens aberration improvements will be able to address sub-22nm nodes with the pitch-division strategy by using spacer deposition. EUV is still on Nikon’s roadmap for the 16nm node, even if Nikon claimed that ArFi extension is its first choice.

An alternative option explored during the forum was maskless lithography. Mapper Lithography, a company based in the Netherlands, presented a status update of its development program. Two of its platforms have been shipped and installed in industrial clean rooms at TSMC (Taiwan) and CEA-Leti (France). The work around this second platform is shared within the IMAGINE program, launched in 2009 and led by CEA-Leti. The objective is to develop a cluster of 10 modules with 15.4m2 footprint allowing 100 wafers/hour (WPH) throughput.

ASML highlighted EUV lithography tool development and progress on its second-generation NXE platform, with overlay down to 4nm already achieved. Three different EUV sources are under evaluation from Cymer, Gigaphoton, and Ushio. ASML anticipates achieving a throughput of 125 WPH with 15mJ/cm2 resist sensitivity.

XTREME Technologies built a discharge produced plasma (DPP) source from a technology developed in 1997 by Fraunhofer ILT. The source principles have been highlighted. Complete integration with the EUV scanner has been demonstrated. No data on power has been provided, however.

SEMATECH highlighted the necessity of setting up strong collaborations between consortia and industry to overcome the remaining EUV challenges.


Serge Tedesco joined CEA-Leti in Grenoble to take charge of e-Beam lithography, and consequently all advanced lithography activities. Since 2003 he has managed CEA-Leti’s lithography strategy and programs as lithography program manager. Dr. Tedesco has authored or co-authored more than 110 papers in the field of lithography and is a program committee member of the major International lithography conferences. He has been involved in numerous European projects, both as project leader and expert.

Since joining CEA-Leti in 1985, Didier Louis has held a variety of positions in microelectronics research. In 2000, he served as the manager of the etching and stripping R&D laboratory, and from 2004 through 2007, he was deputy manager of the organization’s back-end-of-line (BEOL) laboratory. In 2008, he was named deputy manager of Leti’s Materials and Advanced Modules Laboratory, and public relations manager of the Nano-Electronic Division. Didier was named Leti’s international communications manager in 2010.

by Michael A. Fury, Techcet Group

Click to Enlarge July 20, 2011 – About 70 researchers from around the world are gathered this week at The Westin San Francisco for this 7th Organic Microelectronics and Optoelectronics Workshop, co-sponsored by ACS, MRS, IEEE, and IEEE CPMT. The attendee distribution reflects the early research stage of these technologies: 60% university, 20% government labs, and the remainder split between device and materials manufacturers.

John Rogers of UIUC gave the opening talk. Although his group does not deal with organic electronics per se, the applications he targets do indeed overlap quite nicely with those of the organic electronics development programs underway. Semprius, a Rogers Lab spin out, now has an equity investment from Siemens following the successful implementation of a utility scale solar power pilot plant near Tucson using thin flex GaAs cells with optical concentrators. Physiological interfaces to silicon electronics suffer from a severe mismatch in mechanical properties. Flexible silicon on stretchable membranes enables a more highly functional, data-rich interface to the conventional electronics that does not damage living tissue.

Flexible displays using organic TFTs was the topic addressed by Iwao Yagi of Sony. The simplest OLED display circuit is 2T-1C (2 TFT and 1 capacitor) with the single OLED pixel. Different device configurations are used for a flexible design vs. a rollable design to minimize the cumulative mechanical stress on the display components.

Organic Electronics Workshop 2011
Day 1: TFTs, FETs, and a seeing microphone
Day 2: Pushing organic PV performance
Day 3: OLEDs, OTFTs, OPV, and futile resistance

Richard McCreery of the National Institute of Nanotechnology (U. of Alberta, Canada) talked about a robust molecular tunnel junction with high temperature stability (to 150

July 19, 2011 — Matthew Taylor became CEO of Edwards about one year ago. He speaks about the business and the industry’s ramp up over 2010-2011.

2010 was a record year for Edwards, with another half-year record so far in 2011. Margins and delivery times are improving, and new products are entering the market.

Each market in which Edwards is a supplier — semiconductors, flat panel, photovoltaics, LEDs, has its own cycles and technology requirements. Semiconductor remains the largest sector for Edwards, but flat panel display (FPD) and photovoltaics manufacturing are growth areas. Edwards uses a core architecture then specializes it to meet the needs of each sector. AMOLED and latest-gen flat panel display manufacturing present gas delivery/vacuum challenges, which Edwards has found to be exciting and evolving.

Edwards received the 2011 SEMICON West Sustainable Technology Award. The EZENITH integrated vacuum and abatement system was selected by a panel of industry experts, demonstrating the product

July 18, 2011 – BUSINESS WIRE — Translucent Inc., rare-earth-oxide (REO) engineered silicon substrate provider, developed a proprietary GaN-on-Si wafer template with embedded distributed Bragg reflector (DBR) mirrors for one-step light emitting diode (LED) epitaxy on large-diameter wafers.

Translucent demonstrated the Mirrored Si technology on a 100mm-diameter wafer that exhibits high reflectivity using a lattice-matched REO material grown on a Si substrate, capped by a GaN layer that can support further nitride epitaxy for LED structure growth. The GaN-on-Si template’s embedded DBR mirror is directly lattice matched to the silicon substrate, mitigating strain during GaN growth. REO materials enable highly reflective mirrors embedded in engineered silicon substrates.

Translucent’s silicon-based process eliminates wafer handlers and substrate removal during subsequent wafer processing, creating a one-step epitaxial process. Crystalline interfaces show high quality, scalable to large wafers. Also read: LED makers shifting focus from efficacy to manufacturing efficiency for mass-market leap by Dr. Paula Doe

The material was grown using molecular beam epitaxy (MBE) reactors at Translucent