Category Archives: Packaging

By Pete Singer, Editor-in-Chief

A new roadmap, the Heterogeneous Integration Technology Roadmap for Semiconductors (HITRS), aims to integrate fast optical communication made possible with photonic devices with the digital crunching capabilities of CMOS.

The roadmap, announced publicly for the first time at The ConFab in June, is sponsored by IEEE Components, Packaging and Manufacturing Technology Society (CPMT), SEMI and the IEEE Electron Devices Society (EDS).

Speaking at The ConFab, Bill Bottoms, chairman and CEO of 3MT Solutions, said there were four significant issues driving change in the electronics industry that in turn drove the need for the new HITRS roadmap: 1) The approaching end of Moore’s Law scaling of CMOS, 2) Migration of data, logic and applications to the Cloud, 3) The rise of the internet of things, and 4) Consumerization of data and data access.

“CMOS scaling is reaching the end of its economic viability and, for several applications, it has already arrived. At the same time, we have migration of data, logic and applications to the cloud. That’s placing enormous pressures on the capacity of the network that can’t be met with what we’re doing today, and we have the rise of the Internet of Things,” he said. The consumerization of data and data access is something that people haven’t focused on at all, he said. “If we are not successful in doing that, the rate of growth and economic viability of our industry is going to be threatened,” Bottoms said.

These four driving forces present requirements that cannot be satisfied through scaling CMOS. “We have to have lower power, lower latency, lower cost with higher performance every time we bring out a new product or it won’t be successful,” Bottoms said. “How do we do that? The only vector that’s available to us today is to bring all of the electronics much closer together and then the distance between those system nodes has to be connected with photonics so that it operates at the speed of light and doesn’t consume much power. The only way to do this is to use heterogeneous integration and to incorporate 3D complex System-in-Package (SiP) architectures.

The HITRS is focused on exactly that, including integrating single-chip and multi­chip packaging (including substrates); integrated photonics, integrated power devices, MEMS, RF and analog mixed signal, and plasmonics. “Plasmonics have the ability to confine photonic energy to a space much smaller than wavelength,” Bottoms said. More information on the HITRS can be found at: http://cpmt.ieee.org/technology/heterogeneous-integration-roadmap.html

Bottoms said much of the technology exists today at the component level, but the challenge lies in integration. He noted today’s capabilities (Figure 1) include Interconnection (flip-chip and wire bond), antenna, molding, SMT (passives, components, connectors), passives/integrated passive devices, wafer pumping/WLP, photonics layer, embedded technology, die/package stacking and mechanical assembly (laser welding, flex bending).

Building blocks for integrated photonics.

Building blocks for integrated photonics.

“We have a large number of components, all of which have been built, proven, characterized and in no case have we yet integrated them all. We’ve integrated more and more of them, and we expect to accelerate that in the next few years,” he said.

He also said that all the components exist to make very complex photonic integrated circuits, including beam splitters, microbumps, photodetectors, optical modulators, optical buses, laser sources, active wavelength locking devices, ring modulators, waveguides, WDM (wavelength division multiplexers) filters and fiber couplers. “They all exist, they all can be built with processes that are available to us in the CMOS fab, but in no place have they been integrated into a single device. Getting that done in an effective way is one of the objectives of the HITRS roadmap,” Bottoms explained.

He also pointed to the potential of new device types (Figure 2) that are coming (or already here), including carbon nanotube memory, MEMS photonic switches, spin torque devices, plasmons in CNT waveguides, GaAs nanowire lasers (grown on silicon with waveguides embedded), and plasmonic emission sources (that employ quantum dots and plasmons).

New device types are coming.

New device types are coming.

The HITRS committee will meet for a workshop at SEMICON West in July.

3D-IC: Two for one


September 25, 2013

Zvi Or-Bach, President & CEO of MonolithIC 3D Inc. blogs about upcoming events related to 3D ICs.

This coming October there are two IEEE Conferences discussing 3D IC, both are within an easy drive from Silicon Valley.

The first one is the IEEE International Conference on 3D System Integration (3D IC), October 2-4, 2013 in San Francisco, and just following in the second week of October is the S3S Conference on October 7-10 in Monterey. The IEEE S3S Conference was enhanced this year to include the 3D IC track and accordingly got the new name S3S (SOI-3D-Subthreshold). It does indicate the growing importance and interest in 3D IC technology.

This year is special in that both of these conferences will contain presentations on the two aspects of 3D IC technologies. The first one is 3D IC by the use of Through -Silicon-Via which some call -“parallel” 3D and the second one is the monolithic 3D-IC which some call “sequential.”

This is very important progress for the second type of 3D IC technology. I clearly remember back in early 2010 attending another local IEEE 3D IC Conference: 3D Interconnect: Shaping Future Technology. An IBM technologist started his presentation titled “Through Silicon Via (TSV) for 3D integration” with an apology for the redundancy in his presentation title, stating that if it 3D integration it must be TSV!

 Yes, we have made quite a lot of progress since then. This year one of the major semiconductor research organization – CEA Leti – has placed monolithic 3D on its near term road-map, and was followed shortly after by a Samsung announcement of mass production of monolithic 3D non volatile memories – 3D NAND.

We are now learning to accept that 3D IC has two sides, which in fact complement each other. In hoping not to over-simplify- I would say that main function of the TSV type of 3D ICs is to overcome the limitation of PCB interconnect as well being manifest by the well known Hybrid Memory Cube consortium, bridging the gap between DRAM memories being built by the memory vendors and the processors being build by the processor vendors. At the recent VLSI Conference Dr. Jack Sun, CTO of TSMC present the 1000x gap which is been open between  on chip interconnect and the off chip interconnect. This clearly explain why TSMC is putting so much effort on TSV technology – see following figure:

System level interconnect gaps

System level interconnect gaps

On the other hand, monolithic 3D’s function is to enable the continuation of Moore’s Law and to overcome the escalating on-chip interconnect gap. Quoting Robert Gilmore, Qualcomm VP of Engineering, from his invited paper at the recent VLSI conference: As performance mismatch between devices and interconnects increases, designs have become interconnect limited. Monolithic 3D (M3D) is an emerging integration technology that is poised to reduce the gap significantly between device and interconnect delays to extend the semiconductor roadmap beyond the 2D scaling trajectory predicted by Moore’s Law…” In IITC11 (IEEE Interconnect Conference 2011) Dr. Kim presented a detailed work on the effect of the TSV size for 3D IC of 4 layers vs. 2D. The result showed that for TSV of 0.1µm – which is the case in monolithic 3D – the 3D device wire length (power and performance) were equivalent of scaling by two process nodes! The work also showed that for TSV of 5.0µm – resulted with no improvement at all (today conventional TSV are striving to reach the 5.0µm size) – see the following chart:

Cross comparison of various 2D and 3D technologies. Dashed lines are wirelengths of 2D ICs. #dies: 4.

Cross comparison of various 2D and 3D technologies. Dashed lines are wirelengths of 2D ICs. #dies: 4.

So as monolithic 3D is becoming an important part of the 3D IC space, we are most honored to have a role in these coming IEEE conferences. It will start on October 2nd in SF when we will present a Tutorial that is open for all conference attendees. In this Monolithic 3DIC Tutorial we plan to present more than 10 powerful advantages being opened up by the new dimension for integrated circuits. Some of those are well known and some probably were not presented before. These new capabilities that are about to open up would very important in various market and applications.

In the following S3S conference we are scheduled on October 8, to provide the 3D Plenary Talk for the 3D IC track of the S3S conference. The Plenary Talk will present three independent paths for monolithic 3D using the same materials, fab equipment and well established semiconductor processes for monolithic 3D IC. These three paths could be used independently or be mixed providing multiple options for tailoring differently by different entities.

Clearly 3D IC technologies are growing in importance and this coming October brings golden opportunities to get a ‘two for one’ and catch up and learn the latest and greatest in TSV and monolithic 3D technologies — looking forward to see you there.

Jazz Semiconductor Inc., a fully owned U.S. subsidiary of Tower Semiconductor Ltd., has announced the accreditation for trusted status of Jazz Semiconductor Trusted Foundry (JSTF). JSTF has been accredited as a Category 1A Trusted Supplier by the United States Department of Defense as a provider of trusted semiconductors in critical defense applications. JSTF joins a small list of companies accredited by the DoD Trusted Foundry Program, established to ensure the integrity of the people and processes used to deliver national security critical microelectronic components, and administered by the DoD’s Defense Microelectronics Activity (DMEA).

TowerJazz said in its official release that the creation and accreditation of JSTF will help broaden existing business relationships previously disclosed with major defense contractors such as Raytheon, Northrop Grumman, BAE Systems, DRS, Alcatel-Lucent, and L-3 Communications.

“In the United States, there was no ‘pure play’ trusted foundry capability available,” TowerJazz CEO Russell Ellwanger said. “Our aerospace and defense customers asked that we would go this route to enable them greater freedom to serve their great country’s needs; a country that stands as a banner for democratic process throughout the world. Primarily for this purpose, we went beyond our initial commitment to the US State Department to continue support of our ITAR customers and engaged in rounds of discussion with the US Department of Defense toward participation in the Trusted program in our Newport Beach facility. And, as in all activities where one serves purposes of great principle, it is also good business."

“Jazz Semiconductor Trusted Foundry is proud to join the DoD Trusted Foundry Program to enable trusted access to a broad range of on-shore technologies and manufacturing capabilities,” said Scott Jordan, president, JSTF. “The accreditation process adds trust to the existing quality and security systems, improving our level of service to our military and defense customers.”

Entegris, Inc., a developer of contamination control and materials handling technologies for highly demanding advanced manufacturing environments, and imec, a research center in nanoelectronics, announced they are collaborating to advance the development and broaden the adoption of 3D integrated circuits.

3D IC technology, a process by which multiple semiconductor dies are stacked into a single device, is aimed at increasing the functionality and performance of next-generation integrated circuits while reducing footprint and power consumption. It is a key technology to enable the next generation of portable electronics such as smartphones and tablets that require smaller ICs which consume less power.

One of the key steps in 3D IC manufacturing process entails thinning semiconductor wafers while they are bonded to carrier substrates. Handling such thinned 3D IC wafers during the production process can result in wafer breakage, edge damage, and particle generation. A standardized, fully automated solution that supports the handling of multiple types of wafers would result in a significant cost reduction and pave the way toward further development and scaling of 3D IC technologies. Imec and Entegris are working on creating a solution to safely transfer and handle multiple kinds of 3D IC wafers without the risk of breakage and other damage that may occur during the 3D production process.

Read more: Paradigm changes in 3D-IC manufacturing

"We are excited to work with the imec team, which is a key research center leading technology innovation for the semiconductor industry," said Bertrand Loy, president and CEO of Entegris. "Our current collaboration is aimed at leveraging our wafer handling expertise and technology to reduce contamination and breakage by applying full automation to the handling of thin wafers during 3D wafer production. This project builds on our previously completed work with imec to develop dispense and filtration methods to reduce bubble and defect formation during the dispense of material that is used to temporarily bond 3D wafers to carrier substrates," said Loy.

"This collaboration with Entegris aims at developing a solution toward fully automated handling of multiple types of 3D IC wafers," stated Eric Beyne, director of imec’s 3D integration research program. "Such a general solution would imply a significant reduction of the development cost, which is key to the realization of a scalable and manufacturable 3D IC technology."

IC Insights’ recently released August Update to The McClean Report includes Part 1 of an in-depth analysis of the fast-growing IC foundry market.  Part 2 of the IC foundry analysis will be presented in the September Update.

foundry sales
Figure 1

Figure 1 shows the reported IC foundry sales and “final market value” IC foundry sales as a percent of total IC industry sales from 2007-2017.  The “final market value” figure is 2.22x the reported IC foundry sales number. The 2.22x multiplier estimates the IC sales amount (i.e., market value) that is eventually realized when an IC is ultimately sold to the final customer (i.e., the electronic system producer).

An example of how an IC foundry’s “final market value” sales level is determined can be made using Altera. Since a fabless company like Altera purchases PLDs from an IC foundry, and does not incorporate them into an electronic system, Altera is not considered the final end-user of these ICs.  Eventually, Altera resells its IC foundry-fabricated PLDs to electronic system producers/final end-users such as Cisco or Nokia at a much higher price than it paid the IC foundry for the devices (i.e., gross margin).  As a result, a 2.22x multiplier, which assumes a 55 percent industry-wide average gross margin for the IC foundry’s customer base, is applied to the IC foundry’s reported sales to arrive at the “final market value” sales figure.

As was shown in Figure 1, the total “final market value” sales figure for the IC foundries is expected to represent just over 36 percent of the worldwide $271 billion IC market forecast for 2013, and just over 45 percent of the $359 billion worldwide IC market forecast for 2017.  The “final” IC foundry share in 2017 is forecast to be slightly more than double the 22.6 percent “final” marketshare the IC foundries held ten years earlier in 2007.

Read more: The changing future of the Asian foundry landscape

To further illustrate the increasingly important role that foundries play in the worldwide IC market, IC Insights applied the “final market value” sales multiplier to TSMC’s quarterly revenues and compared them to Intel’s quarterly IC sales from 1Q11 through 2Q13. Since TSMC’s sales are so heavily weighted toward leading-edge devices, IC Insights estimates that the gross margin for TSMC’s customer base averages 57 percent (a 57 percent gross margin equates to a 2.33x sales multiplier).  Using the 2.33x multiplier, IC Insights believes that TSMC’s “final market value” IC sales surpassed Intel’s IC sales in 2Q13 (Figure 2), and that TSMC currently has more impact on total IC market revenue than any company in the world. Considering that Intel’s IC sales were 45 percent greater than TSMC’s “final market value” IC sales as recently as 1Q12, this was a dramatic change in a very short period of time.

Read more: Reinventing Intel

The “final market value” IC sales figure of TSMC helps explain why the capital expenditures of Intel and TSMC are expected to be fairly close in size this year ($11.0 billion for Intel and $10.0 billion for TSMC) and next year ($11.0 billion for Intel and $11.5 billion for TSMC).  Thus, when comparing the semiconductor capital spending as a percent of sales ratios for IDMs and IC foundries, the foundries’ “final market value” sales levels should be used.

In general, IC foundries have two main types of customers—fabless IC companies (e.g., Qualcomm, Nvidia, Xilinx, AMD, etc.) and IDMs (e.g., Freescale, ST, TI, Fujitsu, etc.).  The success of the fabless IC segment of the market, as well as the movement to more outsourcing by existing IDMs, has fueled strong growth in IC foundry sales since 1998.  Moreover, an increasing number of mid-size companies are ditching their fabs in favor of the fabless business model.  A few examples include IDT, LSI Corp., Avago, and AMD, which have all become fabless IC suppliers over the past few years.  IC Insights believes that the result of these trends will be continued strong growth for the total IC foundry market, which is forecast to increase by 14 percent this year as compared to only six percent growth expected for the total IC market.

tsmc passes intel in final market value

In its Research Bulletin dated August 2, 2013, IC Insights published its list of the top semiconductor sales leaders for the first half of 2013. The list showed the usual big-time players that we’ve come to expect like Intel, Samsung, and TSMC, leading the way in semiconductor sales through the first six months of the year. What stood out nearly as much, however, was that only one Japanese company—Toshiba—was present among the top 10 suppliers through the first half of 2013.  Anyone who has been involved in the semiconductor industry for a reasonable amount of time realizes this is a major shift and a big departure for a country that once was feared and revered when it came to its semiconductor manufacturing presence on the global market.

Figure 1 traces the top 10 semiconductor companies dating back to 1985, when Japanese semiconductor manufacturers wielded their influence on the global stage.  That year, there were five Japanese companies ranked among the top 10 semiconductor suppliers.  Then, in 1990, six Japanese companies were counted among the top 10 semiconductor suppliers—a figure that has not been matched by any country or region since.  The number of Japanese companies ranked in the top 10 in semiconductor sales slipped to four in 1995, then fell to three companies in 2000 and 2006, two companies in 2012, and then to only one company in the first half of 2013.

Read more: First half of 2013 shows big changes to the top 20 semiconductor supplier ranking

It is worth noting that Renesas (#11), Sony (#16), and Fujitsu (#22) were ranked among the top 25 semiconductor suppliers in 1H13, but Sony has been struggling to re-invent itself and Fujitsu has spent the first half of 2013 divesting most of its semiconductor operations.

Japan’s total presence and influence in the semiconductor marketplace has waned.  Once-prominent Japanese names now gone from the top suppliers list include NEC, Hitachi, Mitsubishi, and Matsushita. Competitive pressures from South Korean IC suppliers—especially in the DRAM market—have certainly played a significant role in changing the look of the top 10.  Samsung and SK Hynix emulated and perfected the Japanese manufacturing model over the years and cut deeply into sales and profits of Japanese semiconductor manufacturers, resulting in spin-offs, mergers, and acquisitions becoming more prevalent among Japanese suppliers.

  • 1999 — Hitachi and NEC merged their DRAM businesses to create Elpida Memory.
  • 2000 — Mitsubishi divested its DRAM business into Elpida Memory.
  • 2003 — Hitachi merged its remaining Semiconductor & IC Division with Mitsubishi’s System LSI Division to create Renesas Technology.
  • 2003 — Matsushita began emphasizing Panasonic as its main global brand name in 2003.  Previously, hundreds of consolidated companies sold Matsushita products under the Panasonic, National, Quasar, Technics, and JVC brand names.
  • 2007 — To reduce losses, Sony cut semiconductor capital spending and announced its move to an asset-lite strategy—a major change in direction for its semiconductor business.
  • 2010 — NEC merged its remaining semiconductor operations with Renesas Technology to form Renesas Electronics.
  • 2011 — Sanyo Semiconductor was acquired by ON Semiconductor.
  • 2013 — Fujitsu and Panasonic agreed to consolidate the design and development functions of their system LSI businesses.
  • 2013 — Fujitsu sold its MCU and analog IC business to Spansion.
  • 2013 — Fujitsu sold its wireless semiconductor business to Intel.
  • 2013 — Elpida Memory was formally acquired by Micron.
  • 2013 — After failing to find a buyer, Renesas announced plans to close its 300mm and 125mm wafer-processing site in Tsuruoka, Japan, by the end of 2013.  The facility makes system-LSI chips for Nintendo video game consoles and other consumer electronics.
  • 2013 — Unless it finds a buyer, Fujitsu plans to close its 300mm wafer fab in Mie.

Besides consolidation, another reason for Japan’s reduced presence among leading global semiconductor suppliers is that the vertically integrated business model that served Japanese companies so well for so many years is not nearly as effective in Japan today.  Due to the closed nature of the vertically integrated business model, when Japanese electronic systems manufacturers lost marketshare to global competitors, they took Japanese semiconductor divisions down with them.  As a result, Japanese semiconductor suppliers missed out on some major design win opportunities for their chips in many of the best-selling consumer, computer, and communications systems that are now driving semiconductor sales.

It is probably too strong to suggest that in the land of the rising sun, the sun has set on semiconductor manufacturing.  However, the global semiconductor landscape has changed dramatically from 25 years ago. For Japanese semiconductor companies that once prided themselves on their manufacturing might and discipline to practically disappear from the list of top semiconductor suppliers is evidence that competitive pressures are fierce and that as a country, perhaps Japan has not been as quick to adopt new methods to carry on and meet changing market needs.

RFMD today announced it has shipped more than one million RF7196D high-power, high-efficiency CMOS power amplifiers (PAs). The ultra-low cost RF7196D is RFMD’s newest and most innovative CMOS PA, delivering a revolutionary combination of cost, size and performance. It is in mass production in support of multiple high-volume 2G and 3G handset platforms, and shipments are expected to increase rapidly, reaching approximately 10 million units by the end of the September quarter.

RFMD is seeing strong adoption of its CMOS power amplifier technologies in next-generation handset platforms targeting emerging markets. The company is migrating its diverse set of customers of 2G power amplifiers (both GaAs and CMOS) to its ultra-low cost RF7196D and expects shipments will more than double in the December quarter and exceed 100 million units worldwide in calendar 2014.

Eric Creviston, president of RFMD’s Cellular Products Group (CPG), said, "RFMD’s ultra-low cost CMOS PA technology delivers excellent overall performance at highly competitive costs versus prior generations. We intend to launch a broad portfolio of innovative new CMOS products in the coming quarters, and we forecast strong growth in emerging markets across a highly diversified customer set."

Industry analysts forecast the total addressable market for RF applications in emerging markets will increase at a compound annual growth rate of approximately 20 percent through 2018 as next-generation 3G and 4G air standards are introduced, as existing subscribers upgrade their devices, and as new subscribers are added.

Anapass, Inc, a display SoC solution provider, today announced that it has successfully completed development of a leading-edge panel controller system on chip “SoC” for UHD TV applications and has recently started mass production. As a result of the successful commercialization of a competitive panel controller SoC for UHD TV, Anapass will be well positioned as a leading panel controller provider for the rapidly growing next generation world-wide TV market, UHD TV.

According to a market research report produced by SNE Research in May 2013, the number of worldwide TV shipments forecasted for this year is 235.1M, 2.6M units of which are expected to be UHD TVs. This year is the first to show significant emergence of UHD TVs as the next generation TV. According to the report, between this year and 2016, the UHD TV market is expected to rapidly grow with 191 percent of CAGR, therefore nearly doubling every year.

The rapid growth of the UHD TV market is reflecting the recent market situation in which the world’s leading flat panel TV makers are aggressively expanding their UHD TV line up from premium high-end TVs down to high volume, smaller panel size TVs ranging from 50 to 60 inch. As such, the UHD TV market is expected to have very aggressive growth. In addition, the swift evolution of the UHD (3840 x 2160) video content eco-system, which provides four times higher resolution than FHD (1920×1080) is strongly supporting the emergence of the UHD TV market era.

Anapass said it intends to leverage its technical know-how and experience in developing and launching panel controller products for flat panel TVs for leading the commercialization of next generation panel controller products optimized for the rapidly growing UHD TV market. Anapass said it is expecting that this will significantly contribute to continuous growth of its core panel controller business.

MTPV received the Top Pitch award for its breakthrough technology for converting heat to electricity using semiconductor chips as judged by several industry professionals, strategic partners and investors at SEMICON West’s Silicon Innovation Summit held in July of this year. Following a selective application process, MTPV and several other companies were selected to present their innovative technologies at the Summit.

MTPV creates semiconductor chips that covert heat directly into electricity. Much like a solar panel will convert sunlight into electricity, MTPV chips are able to convert any source of heat into electricity with breakthrough efficiency and power.

MTPV’s previous awards include "Best Venture" from the U.S. Department of Energy’s National Renewable Energy Laboratory Industry Growth Forum, the Platinum award and top honors from the WBT Innovation Technology Forum, and a National Innovation award from the National Innovation Summit & Showcase and National SBIR conference.

MTPV has also been named a finalist by the Department of Energy’s ARPA-e division and was awarded a grant from the National Science Foundation.

"We are happy to see MTPV’s continued recognition for its outstanding technology," said Annie Theriault, Vice President at Northwater Capital one of MTPV’s investors. "We are excited about the promise of MTPV’s award-winning, patented technology and its ability to provide a significant return on our investment."

SEMICON West is the flagship annual event for the global microelectronics industry. It is the premier event for the display of new products and technologies for microelectronics design and manufacturing, featuring technologies from across the microelectronics supply chain – from electronic design automation, to device fabrication (wafer processing), to final manufacturing (assembly, packaging, and test).

(Reuters) – SunEdison Inc said it would spin off its semiconductor business in an initial public offering and use the proceeds to build solar farms.

Shares of the company, formerly known as MEMC Electronic Materials, jumped 23 percent in morning trade on Thursday, their steepest rise in one year. SunEdison said it plans to sell a minority stake in the newly formed SunEdison Semiconductor to the public in the offering, which is scheduled for early 2014. A SunEdison spokeswoman declined to comment on what the IPO might raise, but re-iterated the company’s commitment to its solar business, which designs, installs and maintains solar power plants.

"We’ve been very clear that we see solar as a strong growth opportunity," said Dawn Brister.

"SunEdison is justified in narrowing its focus to the solar industry given the strong spurt in demand, particularly in terms of installed capacity," RBC Capital Markets analyst Mahesh Sanganeria said.

Several solar companies, such as SunPower Corp and First Solar Inc, have moved into the higher margin business of developing solar farms as solar panel prices continue to remain weak.

Global installations are expected grow at a double-digit rate to 35 gigawatt (GW) in 2013, according to business information provider IHS.

SunEdison ended the second quarter with a project pipeline of 2.9 GW, up 218 megawatt from the first quarter. The company, which changed its name from MEMC Electronic Materials in May, reports results under two units: semiconductor materials and solar energy.

The semiconductor materials business, which makes wafers used in chips for computers, mobile phones and cars, accounted for a third of second-quarter revenue of $401 million. SunEdison earlier this month reported a wider-than-expected quarterly loss and said its semiconductor business would remain weak due to a slump in the wafer market.

A bulk of the company’s revenue comes from its solar energy business, SunEdison expects to file a registration statement with the Securities and Exchange Commission in the third quarter of 2013, the company said on Thursday.

(Reporting by Swetha Gopinath in Bangalore; Editing by Kirti Pandey and Saumyadeb Chakrabarty