Category Archives: Packaging

Everspin Technologies today announced that it has closed a Series B financing, raising $15 million to accelerate growth in the enterprise storage market and support the launch of its ground-breaking Spin-Torque MRAM (ST-MRAM) products. Investors in the round included leading venture capital firms New Venture Partners, Sigma Partners, Lux Capital, Draper Fisher Jurvetson, and Epic Ventures.

Everspin’s MRAM is the fastest non-volatile memory with virtually unlimited endurance, providing superior solutions for non-volatile SRAM and DRAM applications and complementing incumbent memory technologies such as NAND and DRAM.

Read more: NAND value threatens DRAM market

The company also achieved a major milestone in the adoption of MRAM technology, announcing more than 10 million cumulative MRAM product shipments. Everspin is the only company in the world to successfully commercialize MRAM products.

“Everspin is breaking through to become a major supplier of the next generation non-volatile memory technology,” said Stephen Socolof, Managing Partner of New Venture Partners. “We are excited as Everspin’s second generation MRAM, Spin-Torque MRAM, is poised to penetrate massive mainstream markets across various storage and compute applications.

“Our MRAM products bring new levels of reliability, performance and endurance to persistent memory applications,” said Phil LoPresti, president and CEO of Everspin Technologies. “The support we’ve seen from leading investors is a vote of confidence that we’ve developed an only-in-class technology and are making a significant impact on the global memory market. We will use this new funding to productize our ground breaking Spin-Torque MRAM technology, significantly broadening the multi-billion dollar market opportunity.”

Everspin Technologies is a developer and manufacturer of magnetic RAM (MRAM), offering stand-alone and embedded Toggle and Spin-Torque MRAM products. Everspin is the world’s first volume MRAM supplier.

Read more: Imec and GLOBALFOUNDRIES collaborate to advance high-density memory technology

For most of us, a modern lifestyle without polymers is unthinkable…if only we knew what they were. The ordinary hardware-store terms we use for them include "plastics, polyethylene, epoxy resins, paints, adhesives, rubber" — without ever recognizing the physical and chemical structures shared by this highly varied — and talented — family of engineering materials.

Polymers increasingly form key components of electronic devices, too — and with its ever-escalating pursuit of high efficiency and low cost, the electronics industry prizes understanding specific behaviors of polymers. The ability of polymers to conduct charge and transport energy is especially appealing.

Now there’s help in appreciating the polymer mystique related to the emerging field of molecular conduction in which films of charge-transporting large molecules and polymers are used within electronic devices. These include small-scale applications such as light emitting diodes (LED). At the other end of the scale, in cities and across oceans, the polymer polyethylene is the vital insulating component in the reliable and safe transport of electrical energy by high voltage underground cables.

In work appearing in the current edition of the Journal of Applied Physics, researchers at the United Kingdom’s Bangor University describes how electrical charges may leak away to the ground through its labyrinth of molecules.

Researchers Thomas J. Lewis and John P. Llewellyn pay particular attention to the nano-scale structure of polyethylene in which crystalline regions are separated by areas known as "amorphous zones." Their novel employment of superexchange and quantum mechanical tunneling of electrons through the amorphous parts of the polymer helps improve understanding of electrical charge conduction.

"These findings could lead not only to improved properties of high voltage cables but also to a wider understanding of polymer semiconductors in device applications," said Lewis.

Their investigation shows that the tunneling feature accounts for the majority of the reported high-field charge transport effects in polyethylene.

Advanced semiconductor and computer manufacturer Adapteva today introduced its Parallella University Program (PUP) for academic institutions that conduct leading parallel programing research and/or education. The program is designed so universities can access inexpensive and open parallel computing hardware. Institutions participating in the PUP program will receive free hardware and developmental software specifically focused on parallel computing. The first offering via the PUP program will be the Parallella-16 computer, Adapteva’s breakthrough credit-card sized multicore processing platform.

To kick off the program, Adapteva is donating one Parallella-16 platform for each 100 units sold via the Adapteva online store. Universities eligible for the PUP must be actively involved in parallel computing research and education.

The Parallella platform, equipped with Adapteva’s energy-efficient Epiphany multicore processor and the Xilinx Zynq-7000 All Programmable SoCs that includes a dual-core ARM A9 CPU. The whole board is the size of a credit-card, consumes less than 5 Watts under typical workloads and has a $99 entry level price point. Adapteva’s Parallella was launched in a successful Kickstarter campaign in late 2012 and recently opened orders for the general public.

“The present and future of computing is clearly parallel but the world is still struggling with the transition from the serial computing model that has served it well for decades,” said Andreas Olofsson, CEO of Adapteva. “We created the Parallella platform to help make the world’s first open and affordable platform for the development of massively parallel programs. With the Parallella University Program we want to do our part to help accelerate the transition to parallel computing.”

Adapteva invites other companies dedicated to advancing education and research in the area of parallel computing to join the Parallella University Program and match Adapteva’s donation.

We hope you had a productive and enjoyable time at SEMICON West.  Despite the lackluster marketplace, this year’s SEMICON West achieved a 15 percent increase in unique visitors and over an 18 percent increase in R&D titles.  We were also happy to see such strong attendance at the keynotes, executive panels and TechXPOT stages, confirming our claim that SEMICON West delivers the most well-informed and influential speakers (and audience) in the industry.

Read more news from SEMICON West 2013

One of the strongest programs at SEMICON West 2013 was the materials program produced by the Chemical & Gases Manufacturer Group (CGMG), a SEMI special interest group.  This session, entitled, “Materials Growth Opportunities at Both Ends of the Spectrum” attracted over 450 people, more than any dedicated materials session we’ve ever had at SEMICON West.  And it’s no surprise. Innovations in materials are driving leading-edge semiconductor development.  Material markets are growing as the result of opportunities for both large geometry devices such as wide bandgap and printed electronics, and nano-scale devices at sub 22nm and beyond.

As much as materials took center stage at SEMICON West, the subject is simply too big and dynamic to cover in-depth at SEMICON West.  For the real “deep dive” into the critical trends and opportunities in advanced electronic materials, you must attend the SEMI Strategic Materials Conference (SMC), held October 16-17 at the Santa Clara Marriott in Silicon Valley, California.  SMC is the only executive conference in the world dedicated to advanced electronic materials.

SMC provides valuable forecasting information and serves as a forum for collaboration among all sectors of the advanced materials supply chain. This year’s program will feature powerhouse keynote speakers including:

 Luc Van den hove, president and CEO, imec

Gregg Bartlett, chief technology officer, GLOBALFOUNDRIES

Laurie E. Locascio, Ph.D., director, Material Measurement Laboratory, National Institute of Standards and Technology, and co-chair of the US government’s ambitious and essential Materials Genome Initiative

Other top-tier speakers will address market forecasts, materials developments in memory and logic, packaging materials trends, and materials-enabled “Beyond CMOS” devices.  Speakers will also address emerging materials opportunities and challenges in printed electronics, wide bandgap power devices, and MEMS.   The conference will also explore regulatory threats to the microelectronics industry and directly confront the increasingly difficult collaboration challenges between manufacturers, process equipment companies and diverse materials suppliers.

Last year’s conference sold out and attendees are encouraged to register early to ensure participation.

For additional information, please visit, http://www.semi.org/smc.

Thank you for making SEMICON West such a great success and hope to see you at the Strategic Materials Conference, if not before.

An international team of researchers has described a new physical effect that could be used to develop more efficient magnetic chips for information processing. The quantum mechanical effect makes it easier to produce spin-polarized currents necessary for the switching of magnetically stored information. The research findings were published online on 28 July in the high-impact journal Nature Nanotechnology.

Random-access memory is the short-term memory in computers. It buffers the programs and files currently in use in electronic form, in numerous tiny capacitors. As capacitors discharge over time, they have to be recharged regularly to ensure that no data are lost. This costs time and energy, and an unplanned power failure can result in data being lost for good.

Magnetic Random Access Memories (MRAMs), on the other hand, store information in tiny magnetic areas. This is a fast process that functions without a continuous power supply. In spite of this, MRAMs have yet to be implemented on a large scale, as their integration density is still too low, and they use too much energy, are difficult to produce, and cost too much.

Read more: MRAM: Disruptive technology for storage applications

One reason for this is that spin-polarized currents, or spin currents for short, are needed to switch the magnetic areas of the MRAMs. Spin is the intrinsic angular momentum of electrons that gives materials their magnetic properties, and it can point in two directions. Spin currents are electric currents that possess only one of these two spin types. Similar to the way in which the Earth’s magnetic field affects the needle of a compass, a current of one of the spin types influences a magnetic layer and can cause it to flip.

To produce spin currents up to now, the desired spin type was filtered from normal electric current. This required special filter structures and high current densities. Thanks to the new effect identified by researchers from Jülich, Barcelona, Grenoble, and Zurich, magnetic information could now be switched more easily.

"We no longer need spin filters. Instead, we produce the spin current directly where it will be used. All that is needed is a layer stack made of cobalt and platinum," says Dr. Frank Freimuth from the Peter Grünberg Institute and the Institute for Advanced Simulation at Forschungszentrum Jülich. This reduces the amount of space required, makes the system more robust, and may simplify the production of magnetic chips.

An electric current, conducted through the stack at the interface, separates the spins in the platinum layer and transports only one spin type into the magnetic cobalt layer. This creates a torque in this layer that can reverse the magnetization. "Spin torques had already been observed in double layer systems in the past," says the physicist, who is part of the Young Investigators Group on Topical Nanoelectronics headed by Prof. Yuriy Mokrousov. "The fact that we have conclusively explained for the first time how they are created is a scientific breakthrough, because this will enable us to produce them selectively and investigate them in more detail."

Read more: New magnetic graphene may revolutionize electronics

The researchers identified two mechanisms that combine to produce the new effect, which they have dubbed ‘spin-orbit torque’: spin-orbit coupling and the exchange interaction. Spin-orbit coupling is a well-known relativistic quantum phenomenon and the reason why all electron spins of one type move from the platinum to the cobalt layer. Within the cobalt layer, the layer’s magnetic orientation then interacts with the spins via the exchange interaction.

The researchers tested their theory successfully in experiments. Their next step is to calculate the effect in other materials with stronger spin-torque coupling to find out whether the effect is even more apparent in other material combinations.

Worldwide silicon wafer area shipments increased during the second quarter 2013 when compared to first quarter 2013 area shipments, according to the SEMI Silicon Manufacturers Group (SMG) in its quarterly analysis of the silicon wafer industry.

Read more: Quarterly semiconductor sales increase 6%, outperforming industry forecast

Total silicon wafer area shipments were 2,390 million square inches during the most recent quarter, a 12.3 percent increase from the 2,128 million square inches shipped during the previous quarter. New quarterly total area shipments are 2.3 percent lower than second quarter 2012 shipments.

"Total quarterly silicon shipment volumes accelerated in the most recent quarter in contrast to the first quarter” said Byungseop (Brad) Hong, chairman of SEMI SMG and director of Global Marketing at LG Siltron. “As such, silicon shipment volumes for the first half of this year are trending at a slightly higher level than the first half of 2012.”

Quarterly Silicon Area Shipment Trends
Semiconductor Silicon Shipments* – Millions of Square Inches

 

Million of Square Inches

 

Q2 2012

Q1 2013

Q2 2013

TOTAL

2,447

2,128

2,390

*Shipments are for semiconductor applications only and do not include solar applications

 Silicon wafers are the fundamental building material for semiconductors, which in turn, are vital components of virtually all electronics goods, including computers, telecommunications products, and consumer electronics. The highly engineered thin round disks are produced in various diameters (from one inch to 12 inches) and serve as the substrate material on which most semiconductor devices or "chips" are fabricated.

All data cited in this release is inclusive of polished silicon wafers, including virgin test wafers, epitaxial silicon wafers, and non-polished silicon wafers shipped by the wafer manufacturers to the end-users.

The Silicon Manufacturers Group acts as an independent special interest group within the SEMI structure and is open to SEMI members involved in manufacturing polycrystalline silicon, monocrystalline silicon or silicon wafers (e.g., as cut, polished, epi, etc.). The purpose of the group is to facilitate collective efforts on issues related to the silicon industry including the development of market information and statistics about the silicon industry and the semiconductor market.

SEMI is the global industry association serving the nano- and micro-electronic manufacturing supply chains.

CORRECTION: The original version of this article stated that LSI Corp. reported shipments of 400,000 PCI Express SSDs from the start of the year through April. LSI actually posted 40,000 shipments during the period. A corrected version of the article is below. Solid State Technology regrets the error.

 

Solid-state drives (SSD) got a huge push in the first quarter from greatly expanded usage in ultrathin/Ultrabook PCs as well as in PC tablets, where shipment volume to those sectors tripled within a year’s time in a mighty display of growth, according to the latest Storage market tracker report from information and analytics provider IHS.

SSD shipments to ultrathins and Ultrabook PCs reached 5.9 million units from January to March this year, up more than threefold from 1.9 million units during the same three-month period in the first quarter of 2012. SSDs also made a sizable splash in the PC tablet sector, where shipments hit 1.6 million units, likewise surging by a factor of three from just 542,000 units.

SSD shipments were actually up in virtually every segment where the electronic disks with no moving mechanical parts are used. SSD deployment rose not only in the enterprise segment governing business, but also staged strong gains in the various non-enterprise fields covering desktop PCs, notebook PCs and the industrial market for applications such as aerospace, automotive and medical electronics.

Read more: Personal computer shipments post worst quarter on record

All told, SSD shipments in the first quarter amounted to 11.5 million units, up 92 percent from 6.0 million the same time a year ago, as shown in the attached Figure 1. The shipments include standalone SSDs as well as the NAND flash component used together with hard disk drives to form cache SSDs or hybrid drives.

“The SSD market enjoyed big results in the first quarter as both the consumer and enterprise markets ramped up their use of machines that made use of the drives,” said Fang Zhang, analyst for storage systems at IHS. “Most notably, SSD attach rates climbed in ultrathin/Ultrabook PCs where SSDs are the de facto storage medium, and also in PC tablets where productivity options differentiate them from media tablets.”

HDD market flourishes in enterprise but stumbles in client/consumer sector

Meanwhile, the hard disk drive (HDD) market enjoyed some success of its own via the enterprise segment. Shipments here amounted to 16.0 million units, up from 14.9 million in the first quarter of 2012.

Read more: Thin is in: Sales of slender hard disk drives soar as PCs slim down

HDD enterprise demand is expected to continue growing because of the exploding use of data among consumers, especially in music, video and social networking. Consumers’ needs, in turn, will necessitate cost-effective storage solutions on the part of data centers and cloud servers that store and serve up the data. HDDs are still considerably less expensive than solid-state drives, so their use remains assured despite uneven or dwindling market results at times.

The HDD market, however, is encountering challenges in the consumer PC segment. Total HDD consumer PC shipments fell to 93.3 million units in the first quarter, down from 105.3 million a year ago.

The HDD consumer PC space had worse results than either the HDD enterprise segment or the entire SSD consumer PC market, mainly because of poor sales of desktop and notebook PC on which the HDD market relies, weakened by intense competition from smartphones and tablets.

A promising application of HDDs, however, is in the video surveillance market, where hard drives will exceed other storage media, including SSDs and tape. Two types of HDDs are used at present for the video surveillance industry: dedicated DVR drives for traditional analog closed-circuit television (CCTV), and enterprise HDDs.

In all, HDD shipments in the first quarter amounted to 135.7 million units, down 7 percent from 145.5 million the same time a year ago.

SSD and HDD winners in Q1

Among companies, SSD manufacturers whose prospects have significantly improved given their stronger enterprise strategies include South Korea’s Samsung Electronics; California-based makers Intel, SanDisk and Seagate; and Hitachi Global Storage Technologies from Japan, a Western Digital company. Also staging a strong debut in the SSD space was LSI from Silicon Valley, which claimed shipments of 40,000 PCI Express SSDs from the start of the year through April.

Read more: Reinventing Intel

In the HDD market, Western Digital continued to hold the top spot for the fourth quarter in a row, beating rivals Seagate and Toshiba. Western Digital and Seagate are expected to continue battling for the top spot throughout the year, especially as the two adversaries release new HDD products, including large-capacity helium hard disks aimed at enterprise servers for data centers, which offer greater storage capabilities than current HDD technologies.

 

Zvi Or-Bach, President & CEO of MonolithIC 3D Inc. blogs about Samsung’s recent announcement on 3D vertical NAND.

Samsung announced today (Aug. 6, 2013) the mass production of the industry’s first three-dimensional (3D) Vertical NAND (V-NAND) flash memory, which breaks through the current scaling limit for existing NAND flash technology. Achieving gains in performance and area ratio, the new 3D V-NAND will be used for a wide range of consumer electronics and enterprise applications, including embedded NAND storage and solid state drives (SSDs).

According to Samsung, the new V-NAND offers a 128 gigabit (Gb) density in a single chip, utilizing the company’s proprietary vertical cell structure based on 3D Charge Trap Flash (CTF) technology and vertical interconnect process technology to link the 3D cell array. By applying both of these technologies, Samsung’s 3D V-NAND is able to provide over twice the scaling of 20nm-class planar NAND flash.

It’s worth mentioning to the point that while the volume production of TSV based 3D IC is keep being pushed out as discussed in a recent blog: EUV vs TSV: Which one will become production ready first?, this announcement indicates that monolithic 3D NAND is beating the forecast by a few years as illustrated by the following 2012 ITRS chart:

 

Clearly monolithic 3D is a promising alternative to dimension scaling, as one can read in the Samsung announcement. It also adheres very well to the low cost objective for mass production products.

Monolithic 3D technology provides multiple unique and powerful advantages as we present on our site under the tab: 3D-IC Edge. Under item 5 we present the unique advantage that was first introduced in 2007, when Toshiba unveiled its Bit Cost Scalable (BiCS) technology. The unique advantage of 3D NAND is the ability to pattern and process multiple layers simultaneously.

This advantage comes very naturally for regular layout fabrics such as memory, but it is also available for logic circuits. The driver for this advantage is the escalating costs of lithography in state of the art IC. The following charts illustrate the impact of dimensional scaling on lithography costs.

Currently critical lithography steps dominate the end device production costs as illustrated in the following chart:

Accordingly, if the critical lithography step could be used once for multiple layers rather than multiple times for each single layer, then the end device cost would roughly be reduced in proportion to the number of layers processed simultaneously. Multiple memory architectures that support such drastic cost reduction has been presented in various conferences and other forums. Few of those had been presented in our blog: The Flash Industry’s Direction, and MonolithIC 3D Inc.’s Solution…

 

Forty-three years after Intel introduced the first DRAM device in 1970, the DRAM market has finally matured to the point where there are only three major suppliers remaining—Samsung, SK Hynix, and Micron, which recently closed on its acquisition of Elpida.  A good sign that the DRAM market has reached maturity is that capital expenditures in 2013 are forecast to be $4.0 billion, only slightly more than the $3.9 billion spent during the great recession year of 2009 according to data released in IC Insights’ Mid-Year Update to The McClean Report 2013.  Meanwhile, the DRAM market is forecast to reach $33.7 billion.  This means DRAM capital spending as a percent of sales is forecast to fall to an all-time low of only 11.9 percent this year. Lower-trending capex investment for new DRAM fabs and process technology upgrades have contributed to rising average selling prices (ASPs) for DRAM so far in 2013.  For the year, the DRAM average selling price is forecast to jump 40 percent and lift total DRAM market growth 28 percent.

DRAM capital spending

The ability of suppliers to spend large sums of money to build a brand new wafer fab or to upgrade existing fabs has become nearly prohibitive for all but the leading DRAM manufacturers.  With the price of a new wafer fab approaching $5 billion, only Samsung, SK Hynix, and the new Micron-Elpida will be able to continue investing in new and/or upgraded facilities this year.

Read more: Micron’s Elipda acquisition: Analysts weigh in

Samsung perennially has had the DRAM industry’s largest capital expenditure budget, which has allowed it to reduce costs and offer advanced products more quickly than its competition.  From 2010-2013, Samsung’s cumulative four-year DRAM capital spending ($10.95 billion) is forecast to far exceed the amount spent by either of its two nearest rivals—SK Hynix, $6.1 billion; and Micron, $5.1 billion—over the same period.  (DRAM capex by the newly formed Micron-Elpida venture amounts to approximately $7.8 billion over the four-year span).

Read more: How Samsung is climbing the charts

Perhaps more telling is how quickly the level of DRAM capex spending falls off after SK Hynix and Micron. After investing heavily to bring 50nm- and 40nm-class processes to their 300mm wafer lines, Taiwan-based suppliers are out of cash. Facing intense pressure from the world’s leading DRAM vendors, second-tier players like Nanya, Powerchip, and Winbond are having to look for niche markets in order to revive their respective IC businesses.

In the five-year span from 2004-2008, DRAM capital expenditures as a percent of sales averaged 42.1 percent.  In contrast, that ratio over the five-year span from 2009-2013 is forecast to average 21.5 percent.  Since only the top DRAM suppliers will be able to continue investing in new facilities, IC Insights forecasts the ratio of DRAM capex to sales to be in the 15-20 percent range through 2017, greatly reducing the potential for huge swings in the market based on too much or too little capacity in the system.

Integrated Silicon Solution, Inc. today announced the sampling of its new family of 72Mb Synchronous SRAMs. The new 72Mb Synchronous SRAM product offerings include Pipelined, Flow-through and No-wait (ZBT equivalent) options. The 72Mb Synchronous SRAM devices are available in x18, x32 and x36 configuration options, support clock frequencies up to 250MHz and power supply and I/O voltages of 3.3V and 2.5V. In addition, ISSI also offers a 1.8V option for this new product family.

"Our Synchronous SRAM is extensively used in communications, automotive, industrial, and military applications. With the introduction of our high-density, high-speed 72Mb Synchronous SRAM product family, we once again demonstrated our focus, especially in the networking and communications area, where 72Mb Synchronous SRAM is used for shallow buffers and low latency read intensive applications," said Anand Bagchi, director of Strategic Marketing for communications at ISSI.

In addition to its new 72Mb Synchronous SRAM family, ISSI also offers a broad portfolio of synchronous and asynchronous SRAM, DRAM including DDR2/DDR3, RLDRAM and mobile DRAM, flash memory, analog and mixed signal products.

ISSI is a fabless semiconductor company that designs and markets high performance integrated circuits for automotive, communications, industrial, medical, and military, and digital markets.