Category Archives: Semicon West

June 30, 2011 — The semiconductor industry will invest about $44 billion in production equipment globally in 2011, which industry organization SEMI calls a "record sum."

$3.2 billion of capex will be spent in Europe, SEMI noted, as it released the data in advance of SEMICON Europa, which will take place in October, and SEMICON West, which will take place in the US in July.

SEMI recently updated its global numbers for 1Q11 chip tool demand, which inched up from the prior quarter (1% to $12B). Bookings were down, though, -11% to $11.08B. While solid growth continued in the US and in Europe, Taiwan, China, and the rest of world pulled back slightly.

Material expenditure for European semiconductor production will be $3.3 billion in 2011. Q1 2011 saw $1.27 billion spent in Europe, up from the same period in 2010.

In 2012, $41 billion will be spent globally on "new equipment, procedures and processes," predicts Christian Gregor Dieseldorff, senior analyst, SEMI industrial research and statistics group.

In front-end wafer fab, production capacities will rise 9% globally in 2011; 7% in 2012 growing to the expected continuous high demand. Throughout Europe, 300mm wafer capacity will rise by 23% in 2011 and 14% in 2012.

However, semiconductor market consultant Semico predicts a brief semiconductor industry slowdown through 2012, based on its monthly Semico IPI report.

The analysis concludes that incoming orders and the general semiconductor industry atmosphere are positive, SEMI reports.

SEMI represents companies in the semiconductor industry and related sectors. For more details see www.semi.org/eu.

SEMICON Europe in October will host a new Plastic Electonis segment, in conjunction with the 7th Global Plastic Electronics Conference covering organic and printed electronics and photovoltaics, and new display and lighting technologies. 

Subscribe to Solid State Technology/Advanced Packaging.

Follow Solid State Technology on Twitter.com via editors Pete Singer, twitter.com/PetesTweetsPW and Debra Vogler, twitter.com/dvogler_PV_semi.

Or join our Facebook group

by Chi-I Lang, VP of workflow products and applications, Intermolecular Inc.

June 29, 2011 – The American Vacuum Society’s 2011 Atomic Level Deposition Conference in Cambridge, MA, logged an excellent second day, with the focus shifting towards ALD manufacturability, and a number of sessions that could only be described as "cool."

For semiconductor veterans, the ALD Conference is reminiscent of SEMICON West shows in the 1970s and 1980s: lots of ambitious competitors pursing a new and expanding market — with actual hardware on display — and a sense that everyone at the show was witnessing the real-time development of new production technologies. It’s an exciting feeling, and suggests that ALD has a very bright future ahead in a wide range of applications, with its outstanding film quality, wide range of precursors, and excellent step coverage (one presenter showed coatings on carbon nanotubes with an amazing 2000:1 aspect ratio).

Atomic Level Deposition Conference 2011
Day 1: Interface engineering, rabbit ears and Roy Gordon
Day 2: Manufacturability takes center stage
Day 3: Precursor needs, spatial ALD, and butterfly wings

A number of presenters discussed alternative approaches to ALD processing, breaking away from the traditional wafer-in-chamber model in search of higher throughput, the ability to deposit on a wider range of substrates, and more process flexibility.

Dutch equipment developer Levitech NV, one of the few suppliers able to show video of its equipment up and running, impressed the audience with their stated throughput of 3600 wafers/hour performing aluminum oxide passivation layer deposition on a solar cell production line. The spatial ALD system utilizes a continuous flow approach with no pulsing. A belt carries six-inch substrates past precursor dispensing stations; the belt’s speed is the controlling factor for deposition, in a process somewhat analogous to how an ion implanter achieves dose control.

Eastman Kodak’s David Levy provided another intriguing take, presenting a unique open-air spatial ALD approach to ALD on plastic. More than one attendee had to ask why Kodak is interested in ALD — the company is making a serious push in flexible substrates, and believes that it can use ALD as a patterning step in production of zinc oxide thin film transistors on plastic. The roll-to-roll process offers extremely high throughput and low cost. One challenge is the need to maintain very tight spacing (on the order of 100

by Dr. Paula Doe, SEMI Emerging & Adjacent Markets

June 29, 2011 – At $10, long life and low energy use make LED lighting costs compelling for the consumer. Despite major recent progress, however, current prices are closer to $40.

"Improvements in device efficacy have been driving big improvements in lumens per dollar, but that’s getting close to the theoretical maximum — there’s not room to double it again, but costs still need to come down much more than that," says Bryan Bolt, Cascade Microtech’s director of technology development. "So that means it’s now all about manufacturing efficiency — things like designing devices that are easy to manufacture, and improving cost-of-ownership of equipment." Better wafer-level testing is one area that can have a big impact on reducing costs by avoiding the high cost of packaging for bad die. Also key will be bringing traceability to test, for tracking defects back to root causes. But for test suppliers to deliver traceability, the sector will need to come to some degree of consistency in operating conditions and performance parameters from the wide range of different probers, spectrometers, integrating spheres, and software now put together in different combinations by individual LED makers.

Better measurement of process conditions can also improve yields. Veeco Instruments chief technologist Bill Quinn reports that test results using the near UV pyrometer developed with Sandia National Lab to monitor the temperature of the transparent and often warped sapphire wafer directly during epitaxial deposition — instead of just the temperature in the pocket in which it sits — are showing potential to improve yields even in a well-controlled manufacturing environment. With each 2

June 28, 2011 — On Keynote Stage (Esplanade Hall), SEMICON West keynoters will share perspectives from the chip design, packaging & assembly, and research points of view. Tien Wu, ASE; Rama K. Shukla, Intel; and Luc Van den hove, imec, are the honored presenters for SEMICON West 2011.

Semicon West 2011 runs July 12-14 at the Moscone Center in San Francisco.

Tien Wu is chief operating officer at Advanced Semiconductor Engineering Inc. (ASE), a Taiwan-based semiconductor packaging subcontractor. Wu will speak on Tuesday, July 12 in the morning (keynote begins at 9am. Wu told Advanced Packaging several years ago at SEMICON West that "back-end is leading the industry," and that the boundary between the front- and back-end is much more determined by business than by technology. "Money, not processes, determines the difference between the front- and back-end." Read Wu’s past comments here.

Tuesday afternoon, (starting at 1:30pm), Rama K. Shukla will speak. Shukla is VP of the Intel Architecture Group.

Wednesday morning (10:00am start), Luc Van den hove, president and CEO of imec, will share the research organizations’ perspective on the microelectronics industry. Van den hove keynoted the SPIE Advanced Lithography symposium earlier in 2011, discussing materials challenges, silicon photonics, and the spread of semiconductors into new applications.

Get the details at http://semiconwest.org/SessionsEvents/Keynotes/ExecutiveSessions.

More SEMICON West previews:

June 29, 2011 – MEMS Industry Group (MIG) will present the inaugural display of microelectromechanical systems (MEMS) and MEMS-enabled products entitled "MEMS in the Machine" DemoZone at the Extreme Electronics Stage, South Hall at SEMICON West.

SEMICON West, hosted by SEMI, will take place July 12-14 in San Francisco.

MIG member companies throughout the MEMS supply chain will be featured in the MEMS DemoZone display, showcasing the diversity, enabling capabilities and the nuances of MEMS technology.

Featured products include a consumer product teardown from Chipworks, consumer products from Freescale Semiconductor, an automotive demo from Meggitt (Endevco), a gas chromatograph and given imaging pill from MEMSCAP, a MEMS scanning mirror in a pico-projector from Touch Microsystem Technology, and an IR Thermal sensor from OMRON. Additional products including a wafer from Okmetic will give examples of MEMS along the supply chain.

MIG is also exhibiting on the show floor in conjunction with numerous MIG member companies.

A special hands-on demonstration of some of these displays will take place with between on July 12 at 12:45pm and 2:00pm after "The Future of MEMS: Solutions for Moving from a Niche to a Mainstream Business" (which takes place at 10:30am-12:30pm) during the Extreme Electronics TechXPOT, in the South Hall of SEMICON West. Speakers include Bosch Research, Teledyne DALSA Semiconductor, GlobalFoundries, imec, Sand9, and Yole Développement discussing how to bring MEMS into the mainstream and stable production no matter what the volume. MIG managing director, Karen Lightman, will moderate the session.

A second MEMS program, entitled "Heterogeneous Integration with MEMS and Sensors," will be presented at the NorthOne TechXPOT, 2-4:30pm on July 12th. Panelists will cover the eco-system of integrating MEMS and ICs.

Learn more by visiting MIG in South Hall, booth 2734 or at www.memsindustrygroup.org.

Subscribe to our MEMS Direct newsletter

More SEMICON West previews:

June 27, 2011 – Semicon West preview: New microelectronics device architectures and assembly methods are challenging the global semiconductor supply chain from technological and business angles. To maintain profitability and growth in the next 10 years, semiconductor executives must understand and successfully execute lab-to-fab transitions of new technologies.

SEMICON West takes place July 12-14 at the Moscone Center in San Francisco, CA.

When you consider semiconductor equipment and materials roadmaps, think DuPont, ATMI, Lam Research, and KLAC. Executives from these four companies will lead the SEMICON West Executive Summit on July 13. Their focus? Semiconductor manufacturing industry market drivers, political and economic megatrend implications, and public policy. Panelists include Dave Miller, president, DuPont Electronics and Communications; Doug Neugold, chairman, chief executive officer, and president, ATMI; Stephen G. Newberry, chief executive officer and vice chairman, Lam Research; and Richard Wallace, president and chief executive officer, KLA-Tencor.

Is 2011 the year wafer fabs start "walking the walk" with 450mm? The 450mm Transition Forum will feature a review of the R&D initiatives that may lead to fuller implementation of 450mm wafer processing and provide a status of the prevailing challenges for device makers and their suppliers. Panelists include David Bennett, vice president of Alliances, GLOBALFOUNDRIES; Bob Johnson, research vice president, Gartner; Hans Lebon, vice president, Fab and Process Step R&D&M Technology, IMEC; Brian Trafas, chief marketing officer, KLA-Tencor; and David Hemker, vice president, New Product Development, Lam Research.

All registered SEMICON West attendees are encouraged to attend these talks.

More SEMICON West previews:

Subscribe to Solid State Technology/Advanced Packaging.

Follow Solid State Technology on Twitter.com via editors Pete Singer, twitter.com/PetesTweetsPW and Debra Vogler, twitter.com/dvogler_PV_semi.

Or join our Facebook group

by David Lammers, SEMI contributing editor

June 24, 2011 – The semiconductor industry is at a crossroads, with new architectures needed to continue scaling both logic and memory devices. In May, Intel surprised many by saying it will switch from a planar to a vertical FinFET transistor at the 22nm node, coming to market in MPUs late this year. What will other companies do?

Three speakers will describe possible successors to today’s CMOS transistors. Serge Biesemans, VP of process technology at Imec, will give his thoughts on FinFETs, while Ali Khakifirooz, ETSOI lead device engineer at IBM Research, will discuss the advantages of a planar device on an extremely thin silicon-on-insulator substrate. Raj Jammy, VP of materials and emerging technologies at SEMATECH, will describe how germanium and III-V materials can be combined in heterogeneous ICs.

In the memory portion of this TechXPOT, SEMATECH researcher David Gilmer will provide a progress report on metal-oxide-based resistive RAMs, an area of intense study worldwide. Two other speakers will describe the challenges of building vertically stacked NAND memory cells, called cell array transistor (CAT) memories. Bart van Schravendijk, CTO at Novellus Systems, and Gill Lee, a senior director at Applied Materials, each will describe the processes required to build vertically integrated memories.

Major challenges remain for the future of lithography, both in the EUV sources and in the options for extending 193nm immersion.

How to generate enough EUV photons is the major challenge for EUV scanners. Michael Lercel, a former IBM lithography research manager, now working as a senior director at Cymer, will discuss the current state of the laser-produced plasma (LPP) EUV source power modules. Mark Corthout, president of Xtreme Technologies, will provide an update on the competing approach to source power: discharge-produced plasma (DPP) modules.

Skip Miller, a director at ASML, will detail the EUV scanners now being used for process development and prototyping. And Stefan Wurm, EUV research manager at SEMATECH, will describe the Sematech-led EUVL Mask Infrastructure Partnership.

The second hour of the Advanced Lithography TechXPOT will consider methods to extend 193nm scanners, including presentations from Martin McCallum, program manager at Nikon Precision Europe, and Chris Bencher, a member of the technical staff at Applied Materials.

David Lam, founder of Lam Research and now the chairman and CEO of Multibeam, will describe how direct-write e-beam lithography can complement today’s scanners for future technology generations.

June 20, 2011 — The global chemical mechanical polishing and planarization (CMP) consumables market recovered from the 2008-2009 recession with a vengeance, 2 years ahead of schedule. 2010 CMP revenues were up 37% over 2009, returning to 2007 levels, according to Techcet Group’s new report, "CMP Consumables 2011 Critical Materials Report." The CMP combined pad and slurry market this year is forecast to be $1.65 billion, rising through 2016 to $1.96 billion, a CAGR of 4.4%.

Tungsten (W) and ILD slurry revenues will face market erosion from copper and STI, indicative both of the growing manufacturing volumes of leading-edge copper devices and of the higher average selling prices (ASP) of these advanced slurries. This makes sense, as CMP consumable suppliers that cater to this advanced, leading-edge segment did not suffer revenue or volume declines in the 2008-2009 recession.

Techcet Group believes that these market figures hint at unabated process development for next-generation chips. The recession-caused slack in demand allowed fabs to evaluate a range of new CMP products, creating several "magic triangles:" slurry, pad and pad conditioner combinations that generate good dishing and defect results. At 45nm and below, these process specifications are more dificult to meet.

15 slurry suppliers (a record) established sustainable revenue streams in at least one CMP process segment. Cabot Microelectronics remains the biggest player with approximately 40% market share overall. Six other slurry suppliers have established shares ranging from 5% to 11%. Dow’s dominance in CMP pads is under nascent threat from Cabot Microelectronics and 3 other suppliers, each with 3-7% share, although Dow still holds a commanding 80-85% lead.

"CMP Consumables 2011 Critical Materials Report" includes a market breakdown for all CMP-related consumables and over 100 suppliers. It also contains an analysis of the latest International Technology Roadmap for Semiconductors (ITRS) updates and its implications for CMP processes and supply chains at 32nm, 22nm, and beyond.

Additional information from "CMP Consumables 2011 Critical Materials Report" will be presented by Dr. Michael A. Fury at the NCCAVS CMPUG meeting during SEMICON West in San Francisco, July 13, 10:30am-12:30pm at TechXPOT, Moscone South.

Techcet Group LLC specializes in technical trend analysis and market analysis for the semiconductor, silicon, PV, and related electronics industries. For additional information about these reports, visit www.techcet.com.

Subscribeto Solid State Technology/Advanced Packaging.

Follow Solid State Technologyon Twitter.com via editors Pete Singer, twitter.com/PetesTweetsPWand Debra Vogler,twitter.com/dvogler_PV_semi.

Or join ourFacebook group

by Denise Rael, FlexTech Alliance

June 8, 2011 – Emerging technology and a developing infrastructure for printed electronics is enabling circuitry that is flexible, conformable, and inexpensive to mass-produce. FlexTech Alliance has identified, funded, and directed advanced development in the flexible and printed electronics infrastructure, paving the way for the practical manufacture of a variety of low-cost applications such as electronic packaging, ID tags, and wide-area lighting panels.

Printed electronics include a set of consumer markets where printed logic and memory will be required. The size and cost of fully printed systems is set to challenge silicon-based technologies in ultra-high-volume distributed applications. To address this issue, Norwegian firm ThinFilm Electronics produces rewritable memory tags manufactured using full roll-to-roll (R2R) printing. Printed non-volatile RAM (NVRAM), when combined with printed transistor elements, serves as the basis of a new generation of cheap, disposable, and highly ubiquitous electronic devices. The company is working with major toy and game companies and has established high-volume manufacturing to deliver millions of tags per month.

In other commercial development, a new method for fabricating printed semiconductors, developed by NthDegree Technologies, allows a standard high-speed printing press to print conductive ink on to paper, plastic, or other substrate materials. Printed semiconductors made with these inks reduce the cost of producing semiconductor-based devices while creating innovative conformable products. Wide-area lighting is currently being produced with this technology by means of a light-emitting diode (LED) "ink". This LED ink is being used to print area lighting that is converted into a flat panel to replace fluorescent tube fixtures.

These latest developments in printed electronics materials, tools and processes, including LED lighting and printed memory, will be discussed and demonstrated at the Extreme Electronics TechXpot session "Printed electronics: Beyond R&D to real-deal technologies," presented by the FlexTech Alliance at SEMICON West, July 14, 2011. For more information about FlexTech Alliance visit www.flextech.org.