Category Archives: Semicon West

July 10, 2012 — Semiconductor equipment sales will reach $42.4 billion in 2012, according to the mid-year edition of the SEMI Capital Equipment Forecast, released at SEMICON West 2012.

Driven by consumer demand for tablets, smartphones, and other mobile devices, chipmakers continue to purchase manufacturing technology equipment, but at a slightly lesser rate than in 2011. The forecast indicates that, following a 9% capex increase in 2011, semiconductor makers are spending 2.6% less in 2012, although 2012 will be the fourth highest spending year in history, following 2000, 2007, and 2011. The industry is “absorbing new capacity,” said Denny McGuirk, president and CEO of SEMI. 2012 is part of a “multi-year market expansion,” he added, with 2013 spending to accelerate to $46+ billion.

In wafer processing equipment, the industry will spend $33.0 billion in 2012, the second highest spending year ever for this segment (the best was 2011), falling 3.8% year-over-year (Y/Y). Wafer processing equipment is the largest product segment by dollar value

The market for test equipment ($3.8 billion) and assembly & packaging equipment ($3.4 billion) will remain essentially flat (up 0.2% and 0.9%, respectively, Y/Y).

Growth is forecasted in 2 regions in 2012; Korea and Taiwan will become the largest two markets for equipment spending. 

In 2013, Korea and North America are expected to claim the top spots, with Taiwan slipping to third place in terms of equipment spending.

Tables. SEMI’s market size forecast in billions of US dollars and percentage growth over the prior year. SOURCE: 2012 SEMI Mid-Year Consensus Forecast.

SEMI is a global industry association serving the nano- and microelectronics manufacturing supply chains. For more information, visit www.semi.org or go straight to www.semi.org/en/MarketInfo.

Visit the Semiconductors Channel of Solid State Technology!

July 10, 2012 — Michael A. Fury, Ph.D., reports from the pre-opening day (July 9) of SEMICON West at the Moscone Center in San Francisco, CA. The first day hosts SEMI’s press conference on semiconductor revenues and the SEMI/Gartner Market Symposium.

The day before the official opening of SEMICON West 2012 started as always with the SEMI press conference. Semiconductor revenue forecasts for 2012 range from 0.4% to 8.6% growth for the next 6 months, thus providing support for the scenario of your choice. The equipment forecast for 2012 is $42.4B, down 2.6% from 2011; 2013 is forecast to be back up to $46.7B. The current rank order for equipment spending by region is S. Korea, Taiwan, US, Japan, Europe, China and ROW. Fab and packaging materials are forecast to be $49.3B for 2012, up 2.9% over 2011 with another 4.1% growth expected for 2013. Since 2009, spending on packaging materials has been within 6% of the spending on fab materials. SEMI states that the 450mm transition will occur, with R&D cost estimates varying widely from $8B to $40B, depending on how efficiently the conversion is executed. Advance registration for this 42nd SEMICON West of over 31,000 attendees is up slightly over 2011.

The remainder of the day was devoted to the SEMI/Gartner Market Symposium, another annual event that provides some high level insight into who is doing what to whom, and why, and at what cost in the realm of electronics manufacturing. Intel’s Senior Fellow Mark Bohr provided his perspectives on what is needed to maintain silicon technology leadership for the mobility era. Trigate FinFET devices are fully depleted, providing a steeper sub-threshold slope of ~70mV/decade compared to ~100mV/decade for the best planar devices. A family of devices is being based on the tri-gate concept, with single fins for low-power or low-performance applications and up to six fins in a single device for high-performance needs. The 22nm tri-gate operates 37% faster and 0.2V lower than the previous 32nm planar technology. Interconnects for 22nm range in pitch from 80nm to 360nm for M1-M8, with top metal M9 at 14µm. The 80nm pitch is used repeatedly as it is the tightest pitch that can be manufactured with single step patterning. The 22nm Ivy Bridge processor comprises 4 cores with integrated graphics and houses 1.4 billion transistors in 16mm2. As to the oft-foretold end of Moore’s Law, Intel presently has teams working on 10nm and 7nm technologies, so the researchers in Hillsboro have not drunk the Kool-Aid of doubt.

Figure 1. Intel transistors.

Bob Johnson, Gartner’s VP of Semiconductor Manufacturing, continued the theme with an overview of semiconductor equipment investment for a mobile world. The market driver assumptions include 2012 growth rates of 4.9% for PCs, 6.5% for mobile phones, 7.6% for NAND, and a whopping 78% for media tablets. Over the next several years, 76% of the industry growth will be driven by solid state drives, media tablets, smart phones and mobile PCs. The 2016 projection of 1.4B smart phones per year is the equivalent of 1/7 of the world population, truly a staggering number. The top 5 fab capital spenders now account for 64% of the total industry spending on equipment; the top 10 extend that to only 76%. The current 5-year CAGR for capital spending is 0.6%. NAND spending surpassed DRAM in 2011, and will continue to lead for the foreseeable future. Allocation of industry R&D funding for 450mm is expected to be 50% in 2013 and 75% by 2016.

Figure 2. Gartner’s forecast for mobile semiconductors.

Dan Tracy of SEMI’s industry research & statistics program provided their mid-year update on the equipment and materials outlook. The equipment highlights were cited above. Silicon wafer shipments for 2013 are forecast to be up 7%, with all other sizes flat or declining. Photoresist for 193nm makes up ~45% of the total market, and is expected to grow 10.3% in 2013 to $610M. On a 2011 $24.2B base, wafer fab materials overall are projected to grow 3.4% in 2012 and 5.0% in 2013. The lead news (pun shamelessly intended) for packaging materials is the shift from gold to copper for wire bonding. Volumes are increasing even as revenue declines, reflecting the lower cost of copper. On a 2011 $23.6B base, packaging materials are forecast to grow 2.4% in 2012 and 3.2% in 2013. Another hot area is underfill materials, with a current $220M market expected to grow to $300M by 2015. TSV is a ~$7M materials market today, but is expected to grow dramatically to $450M by 2016, about half of which will be for the temporary bonding adhesives needed for wafer handling.

Figure 3. SEMI’s wafer fab materials forecast.

Ken Dulaney, VP & Distinguished Analyst at Gartner, continued the mobile device theme with perspectives on pocket power: mobile devices migrating to hand held size. At the other extreme, picture the replacement of conference room white boards with 80” touch screens. Several major airlines have placed two tablet computers in every cockpit to replace about 40 pounds of mandated safety procedure documentation. Android has risen rapidly to dominance in mobile operating systems, with the Windows phone system the only credible threat on the horizon. Unlike Apple, Microsoft and Intel, Google continues to resist engaging in TV advertising to build brand identification with Android and customer loyalty, and thus may have an Achilles heel. Apple mobile products represent 9% of the volume but 75% of the profits. Corporate users are driving their IT departments toward replacing Blackberry with iPhone, but this requires an ‘Apple-way or the highway’ commitment for the corporate enterprise system. Enterprise clients are expected to largely skip Windows 8 because the conversion to Win7 was so recent, many custom applications are still being ported to Win7, and Windows 9 is already anticipated for 2014.

Figure 4. Gartner’s forecast for mobile OS.

Christian Dieseldorff of SEMI’s market research program brought us up to date on the world fab forecast for 2012-2013 and the near-term trends for fab spending and capacity. Fabs that are increasing capital spending in 2012 are Intel, Samsung, TSMC, Hynix and UMC. Despite hard economic times, Japan continues to host the greatest number of fabs in the world, and will remain in the lead through 2017. However, only Taiwan and China are expected to have a significant increase in the number of fabs over this period, with other regions closing fabs. In 2000, the top 5 IDMs accounted for 12% of the global installed capacity, excluding discretes; in 2013, they will be 54%. In contrast, the top 4 foundries moved from 43% to 57% over the same period.

Figure 5. SEMI’s fab equipment spending predictions.
Figure 6. SEMI’s capacity trends data.

Samuel Wang, Research Director at Gartner, concluded the event with a presentation on the implications of mobile device proliferation for foundries. Foundry revenue will grow 9.9% in 2012 to $32.7B, fueled in part by a tight supply of 40nm and a shortage of 28nm devices. And yet, 40nm is already expected to peak and begin its decline in 2013. In effect, looking forward, 28nm and 20nm have become mainstream, displacing 32nm and 40nm. In the next 5 years, 70% of the foundry revenue growth will be attributable to mobile devices. The combination of device sales projections and wafer production carries the implication that 300mm wafers are being produced with an average defect density of 0.15/in2. The large die size for leading 32/28nm mobile processors is a significant contributor to the current ship shortage in these technologies; smaller chips yield better. Given their manufacturing maturity, historic precedence says that these should be operating at a defect density of 0.10/in2.

Figure 7. Gartner’s estimation of revenue by nodes.

Michael A. Fury is a contributing blogger for Solid State Technology and director and senior technology analyst, Techcet Group.

Check out Solid State Technology’s coverage of SEMICON West 2012!

July 10, 2012 — ASML Holding NV established a program to enable its largest customers to make minority equity investments in ASML, with Intel taking a 15% stake in the semiconductor manufacturing tool maker. The program includes commitments to fund ASML’s R&D spending, accelerating development of extreme ultraviolet (EUV) lithography technology and 450mm silicon wafer technology for 2015-2020 timeframe.

Also read: imec chat: Inside a new 450mm cleanroom investment

As part of this program, ASML may issue up to an aggregate 25% minority equity stake to customers. The entire cash proceeds from the share issuance will be returned to ASML shareholders (not including participating customers). Alongside these equity investments, participating customers would fund a significant portion of ASML’s R&D activities for the next five years. If the maximum aggregate 25% available shares are fully subscribed, customers would have acquired the shares for an aggregate value of EUR 4.19 billion², and would have committed R&D funding of EUR 1.38 billion, to be received over the period 2013 to 2017.

Intel is the first participant in the customer co-investment program, and has committed to acquire up to a 15% equity ownership interest at a subscription price of EUR 39.91 per share¹, and to also provide EUR 829 million to ASML in R&D funding, which will be dedicated to the development of 450mm and EUV technology. Additionally, Intel has contractually committed to advance purchase orders for 450mm and EUV development and production tools from ASML to support technology and infrastructure development under agreed-upon conditions of sales.

Other customers are currently evaluating joining the program.

The results of the technology investments will be available to every semiconductor manufacturer with no restrictions.

"Circuit design scaling and enhanced productivity in wafer manufacturing technologies, especially lithography, are direct enablers of Moore’s Law," said Brian Krzanich, Intel SVP and COO. “By using EUV technology, the industry will be able to scale geometries further. By moving from today’s standard 300 mm wafers to new larger 450 mm wafers, the industry can effectively double the capacity of its factories for only a fraction of the cost.”

Details: Under the terms of the agreement, ASML will first issue new shares equivalent to 9.99% of ASML’s issued share capital (the First Issuance), to Intel in exchange for EUR 1.7 billion in cash. In addition, Intel has committed EUR 553 million of R&D funding to accelerate ASML’s 450mm silicon wafer technology development. ASML can issue the shares under the First Issuance as per the decisions made at ASML’s 2012 Annual General Meeting of shareholders. Subject to shareholders’ approval at an Extraordinary General Meeting of shareholders (EGM) to be scheduled for September 2012, the entire cash proceeds from the First Issuance will be returned to ASML shareholders (not including participating customers) through a synthetic buy-back as described below.
ASML intends to issue the remaining shares in the program (the Second Issuance), up to a total of 15% of ASML’s issued share capital, the proceeds of which will also be returned to shareholders (not including participating customers) through a synthetic buy-back. The Second Issuance, as well as the synthetic buy-back, are subject to the approval of ASML’s shareholders at the EGM. Of this 15%, Intel has agreed to purchase 5% of ASML’s issued share capital and will commit EUR 277 million R&D funding for the EUV project upon approval by ASML shareholders. Other customers are currently considering the opportunity to invest in the remaining available 10% of ASML’s issued share capital under the Second Issuance, on the same basic terms as Intel and at a price not lower than the Intel price. If shareholder approval is not obtained at the EGM, there will be neither a synthetic buyback nor a Second Issuance, in which case the number of our issued shares would increase by 9.99% (the First Issuance), with Intel remaining obligated to fund the 450mm technology development project.

The customer co-investment program is intended to have no effect on the total current number of ASML shares outstanding. Following the receipt from participating customers of the aggregate subscription proceeds from the First Issuance and the Second Issuance, ASML will effect a synthetic buy-back, consisting of a repayment to shareholders of the aggregate subscription proceeds and a reverse stock split. The shares purchased by participating customers will be excluded from the synthetic buy-back. ASML has used a similar synthetic buy-back process in 2007 as an efficient way of executing large buy-backs for its own share repurchase program: 55,093,409 shares were repurchased in this manner for a total amount of EUR 1,012 million.
The shares issued through the program to ASML customers will be held by a foundation (Stichting Administratiekantoor) until a relevant termination event occurs; in order to ensure ASML’s strategic and operational independence, these shares will be non-voting, except in limited extraordinary circumstances, and will be subject to a lock-up. As part of these arrangements, any single customer would not increase its holdings in ASML above 19.9% for a period of six years.

The terms of the proposed synthetic buy-back and the Second Issuance will be subject to approval by ASML shareholders at the EGM and statutory provisions regarding repayment of capital. In addition, the participation of Intel in the customer co-investment program will be subject to customary regulatory approvals, including the termination of waiting periods applicable under the U.S. Hart-Scott-Rodino (HSR) Act.

For regulatory reasons, in connection with the transactions described in this press release, ASML has suspended its regular share buy-back programs until further notice. ASML intends to resume share buy-backs when permitted under applicable regulations. A video interview with CFO Peter Wennink about the co-investment program is available on www.asml.com.

ASML is a leading provider of lithography systems for the semiconductor industry. More information: www.asml.com.

Visit the Semiconductors Channel of Solid State Technology!

July 9, 2012 — SEMI’s annual Board of Directors election results are in, with new directors Jifan Gao, chairman and CEO of Trina Solar; Nobu Koshiba, president of JSR Corp.; Sue Lin, vice chairman of Hermes-Epitek; and Natsunosuke Yago, president of Ebara Corp., joining. The re-election of existing board members was also announced. 

The elected board members’ tenure becomes effective at the annual SEMI membership meeting, to be held July 11 during the SEMICON West 2012 and Intersolar North America expositions in San Francisco, CA.

Also read: SEMI adds Brewer, Mitchell to North American Advisory Board

Douglas A. Neugold, chairman, CEO and president of ATMI, Inc., will continue to serve as SEMI chairman and André-Jacques Auberton-Hervé, president, CEO and chairman of the Board of Soitec Group, will continue in the position of vice-chairman of the board.

Yong Han Lee, chairman of Wonik, was elected to the position of second vice chair.
In accordance with the association’s by-laws, the following nine board members were re-elected for a two-year term:  Yong Han Lee, chairman, Wonik; Toshio Maruyama, chairman, Advantest Corp.; Osamu Nakamura, CEO and president, Hitachi High-Technologies (Singapore) Pte Ltd.; Douglas Neugold, chairman, CEO and president, ATMI, Inc.; Steve Newberry, vice chairman of the Board, Lam Research Corp.; Mary Puma, chairman and CEO, Axcelis Technologies, Inc.; Tetsuo Tsuneishi, vice chairman of the Board, Tokyo Electron Ltd.; Richard Wallace, CEO and president, KLA-Tencor; and Eicke Weber, director, Fraunhofer Institute.

Robert P. Akins, chairman and CEO of Cymer Inc., J.C. Kim, group director of Edwards Limited, and Kosei Nomiya, chairman of Ultratech K.K , will continue on the Board as non-voting members for one year.

SEMI’s 20 voting directors and 11 emeritus directors represent companies from Europe, China, Japan, Korea, North America, and Taiwan, reflecting the global scope of the association’s activities. SEMI directors are elected by the general membership as voting members of the board and can serve a total of four two-year terms.

SEMI is the global industry association serving the nano- and microelectronics manufacturing supply chains. For more information, visit www.semi.org.

Check out Solid State Technology’s coverage of SEMICON West 2012!

July 9, 2012 — Ushio Inc. (TOKYO:6925) launched its thinnest ultraviolet (UV) irradiance meter "UIT-q365 (UIT-Theta365)." The meter is 4.9mm thick, used to keep major UV processes within spec. It can be used during optical film manufacturing for flexible electronics, as well as during adhesion of precision optical parts.

The meter is launching at SEMICON West 2012. USHIO will also demonstrate its dedicated Android application “BladeNavi,” used for measurement, monitoring, and analysis of UV irradiance, which is set to launch in October 2012.

More SEMICON West product previews: Metrology, inspection, and process control products, Lithography products, packaging products, Wafer processing and handling products

The UIT-q365’s thin unibody design incorporates a meter, sensor, and secondary battery to allow measurement of UV irradiance in hard-to-reach locations or in-situ setups. Measurements are reportedly highly accurate and repetitive measurement even for scattered continuous light. With a rechargeable battery, re-use is unlimited.

The product operates in- or off-line. In off-line data-logging mode, it can be placed on a film running on a production line to log measured data, then removed from the line for processing measured data. In on-line mode, it provides real-time measurement of irradiance of a spot UV light. With “BladeNavi” the tool can be operated with one hand.

Visit USHIO at SEMICON WEST, July 10-12 in San Francisco at the Moscone Center, South Hall, Booth 2544.

USHIO INC. handles a variety of light sources for a broad range of industrial applications, including high-brightness discharge lamps for cinema projectors and data projectors as well as halogen lamps for general lighting and OA equipment and UV irradiance meters. It also manufactures and markets products incorporating its own light sources, such as optical systems for manufacturing FPDs and other electronic components and devices as well as imaging equipment led by digital cinema projectors. Visit www.ushio.co.jp/en.

Check out Solid State Technology’s coverage of SEMICON West 2012!

July 8, 2012 — Don’t fill up your exhibit hall meetings list just yet. Following are some of the new and flagship products that will appear this week at SEMICON West, July 10-12 in the Moscone Center of San Francisco, CA.

More SEMICON West product previews:

Metrology, inspection, and process control products

Lithography products

Semiconductor packaging products

Wafer processing and handling products

Gas distribution equipment and other products

Air Liquide will highlight several product lines at SEMICON West and Intersolar North America, including ALOHA, dedicated to the development, manufacturing, and marketing of advanced precursors for ALD and CVD applications. Other features at the booth will be the company’s SaFlow specialty gas distribution equipment for solar and nanotechnology applications; BBr3 (Boron Tribromide) alternative boron dopant source for solar; Total Gas and Chemical Management services for fabs; Balazs NanoAnalysis clean manufacturing solutions and analytical services for advancing high-technology products through materials characterization and contamination reduction; and the next-generation Flexible Factory Control System (FFCS). Air Liquide, South Hall, Booth 1007.

 

Electrostatic discharge meter

TREK INC. is debuting the Model 511 Hand-Held Field Meter, an economical and reliable way to monitor electrostatic fields for the semiconductor, photovoltaic/solar and electronics manufacturing industries. Model 511 is a portable, chopper-stabilized, non-contacting electrostatic field meter designed for testing and auditing of electrostatic fields. An optional charge plate accessory enables Model 511 to be used for the balancing and testing of ionization blowers and devices. The unit can also be used for surface voltage measurements of photoconductors or dielectric surfaces and for measurement of electrostatic potentials on film, polymers, and paper. The unit has a switch-selectable measurement range of either ±2 kV per inch or ±20 kV per inch. Measurement accuracy is better than 5% of reading. It operates in ionized or non-ionized environments. Additional features include an easy-to-read LED display, a hold-switch that preserves a reading on the display until released, and a push-button ‘auto-zero’ feature that removes any offset voltage. TREK INC., South Hall, Booth 1123.

 

Filtration and purification products for leading-edge fabs

Pall Corporation (NYSE: PLL) will unveil advanced filtration and purification products targeting 22nm and smaller-node semiconductor fabs. The products are used in chemical, gas, photolithography, ultrapure water and CMP applications. The 10nm Ultipleat SP DR G2 filter uses a proprietary, highly asymmetrical membrane to remove particles down to 10nm in size. The larger-diameter G2 filter provides 45% more liquid flow at the same differential pressure as the current standard filter. It can be implemented for critical, ambient, wet chemical surface preparation processes. The all PFA disposable UltiKleen G3 HiT KC assembly will also debut, featuring a Pall Excellar ER filter cartridge with a uniquely modified, 15nm-rated PTFE membrane that remains wet in critical aqueous chemicals. The UltiKleen G3 HiT KC Assembly’s design improves fluid dynamics and enables efficient removal under new, higher-temperature SPM processes. The new filter has been shown to reduce sub 20-nm particles by more than 25% in 120°C sulfuric acid filtration compared to previous designs. Pall will showcase the new Profile Nano filter developed to classify both ceria and low solids colloidal silica slurries typically used in advanced CMP processes, such as shallow trench isolation (STI) and barrier copper. The Profile Nano filter contains extremely fine fibers that improve particle removal efficiency to better than 99% at 200nm. Pall will introduce the IonKleen CAF purifier/ filter for critical point-of-use applications in ultrapure water. It can reduce trace metal ions to < 1 part per trillion (ppt) at challenge levels up to 10 ppb and water flow rates up to 20 liters per minute. In addition to the active polyethylene membrane, the large area, G2 style cartridge contains a highly efficient filter for removing 10nm and greater particles. Pall Corporation (NYSE: PLL), South Hall, Booth 1519.

 

Filtration for advanced patterning processes

Pall will introduce two products for advanced patterning applications: the 2nm PE-Kleen filter with an extremely tight membrane to prevent defects in 14nm semiconductor patterning, and the Nylon Extension filter designed to increase photoresist contact time within the nylon 6,6 membrane. The 2nm PE-Kleen filter is constructed of ultra-high-purity, high-density polyethylene. The Nylon Extension filter increases contact time to enhance nylon 6,6 adsorption. The removal of insoluble polymer components by adsorption helps reduce bridge type defects. The new 2nm PE-Kleen and Nylon Extension filters are available in several different cartridge and capsule configurations, including the quick-disconnect PhotoKleen EZD-3X assembly. Pall Corporation (NYSE: PLL), South Hall, Booth 1519.

 

Gas purification and filtration products

Pall will also uncrate products for use in ultra-high-purity gas applications. The Gaskleen II EL purifier is a compact purifier assembly suitable for intermittent process flow rates up to 50 standard liters per minutes (slpm). The assembly can be filled with any of Pall’s AresKleen purification materials to remove molecular contaminants such as moisture, oxygen, carbon dioxide and hydrocarbons to levels below 1 part per billion (ppb) from process gases. The purifier also contains an integral filter that removes particles ≥3nm with a >9 log efficiency. ChamberKleen diffusers will launch with NW40 and NW50 flanges for venting of load lock or other vacuum chambers where large volumes of gas need to flow in a short time. The assembly contains a high-flow filter pack capable of removing particles ≥3nm in size. It operates at differential pressures of up to 0.72 MPa (105 psid) to enable higher inlet pressures and faster chamber filling times. Pall Corporation (NYSE: PLL), South Hall, Booth 1519.

 

Wafer handling

CHAD Industries will demonstrate automated wafer handling of electrostatic wafers carriers with automated SMIF pod delivery, exhibiting the WaferMate300-2 wafer handling workcell running WaferWare software. The workcell will be configured with both a 300mm FOUP loadport and 200mm SMIF-EZ loadport. It can automate handling of standard and nonstandard wafers. The SMIF-EZ loadport will be populated with 200mm electrostatic carrier wafers from CHAD’s Technology partner Beam Services. The carriers will have a smaller-diameter wafer mounted to them to demonstrate standard wafer handling of a challenging size. CHAD will also be demonstrating Adept Technology’s autonomous indoor vehicle (AIV) for automated SMIF pod delivery. The transporter is a safe autonomous indoor vehicle for delivering 200mm, 150mm, and reticle SMIF Pods within semiconductor fabs with no additional infrastructure required. It reduces vibration and handling in wafer delivery. CHAD Industries, North Hall, Booth 6274.

 

Jetting system and new cartridge

Nordson ASYMTEK will demonstrate its new NexJet jetting system with the one-piece Genius Jet Cartridge. As simple as an ink jet printer cartridge, Genius is easily removed and replaced in seconds without tools. The Genius Jet Cartridge dispenses up to 50 million cycles before a replacement is necessary, with memory to store and communicate usage data. This intelligence enables quality dispensing and high yields. The advanced design of the NexJet system includes new software that widens the process window to ensure stable and repeatable results for high-yield production and broadens the range of jettable fluids and applications. The NexJet System includes new precision software control of the jetting process, enabling successful results with both low- and high-viscosity fluids, and accommodates a broad range of fluids for applications such as flip chip underfill, chip scale package, ball grid array, package-on-package underfill, precise coating, and adhesive dispensing. Each NexJet System ships with two Genius Jet Cartridges and a specially designed Genius Jet Cartridge Cleaning Tool. The NexJet system is available as an upgrade for Nordson ASYMTEK’s Spectrum, Axiom, and DispenseMate Series platforms, and can accommodate six reservoir sizes: 3cc, 5cc, 10cc, 30cc, 2.5 oz, and 6 oz. The Genius Jet Cartridge comes in 4 sizes. Nordson ASYMTEK, North Hall, Booth 6071.

 

Printing tool & epoxy

Optomec will demonstrate several devices with 3D printed electronics fabricated with its Aerosol Jet tool: an automotive tank with fill level sensor and control circuitry (courtesy of Neotech Services MTP); a multi-chip package (MCP) with fine line conformal interconnects; and aircraft components with printed antennae, sensors, and power distribution circuitry. Optomec will also showcase a new conductive epoxy developed by Resin Design specifically for use with the Aerosol Jet printing process and its fine-feature capabilities. Together, this novel material and the Aerosol Jet system can be used to produce high-conductivity adhesive features for surface mount and high-stress interconnect applications. Aerosol Jet technology can print a wide variety of electronic materials directly onto a wide variety on non-planar surfaces. The tool produces a high-velocity material mist stream, printing interconnects for vertically stacked dies, without adjustment to Z-height positioning. The system can print fine features to <10µm and wide features >1cm. Multiple print heads can be independently controlled by the Aerosol Jet system and each print head can have multiple nozzles providing a high degree of system scalability to meet application throughput requirements. Optomec, North Hall, Booth 6665.

 

Active piezoelectric vibration cancellation system

TMC’s STACIS 2100 active piezoelectric vibration cancellation system is the industry standard solution for the most sensitive instruments in noisy environments. Primarily designed to isolate precision microlithography, metrology, and inspection equipment in advanced semiconductor factories, STACIS is hundreds of times stiffer than air isolators and suffers from none of their limitations. There is no soft suspension and, unlike active air systems, STACIS can be “stacked” (placed beneath a tool with an internal active air isolation system). STACIS employs advanced inertial vibration sensors and piezoelectric actuators to cancel vibration by sensing floor vibration, then expanding and contracting the actuators to filter out floor motion. With STACIS, precision tools can be located in noisy environments and still meet the tool manufacturer’s floor vibration criteria. TMC, a unit of AMETEK Ultra Precision Technologies, South Hall, Booth 2122.

Check out Solid State Technology’s coverage of SEMICON West 2012!

July 3, 2012 — Technology for making electronic circuits with organic materials, non-vacuum processes, and flexible substrates has made striking progress, but it’s been a challenge to find applications where the new technologies — and the whole new ecosystems needed to integrate them into useful products — offer must-have advantages.  Flexible and large-area organic light-emitting diode (OLED) displays and lighting offer perhaps the largest tangible opportunity, and hybrid products using solution-processed layers and flexible substrates are starting to come to market.

Flexible active matrix OLED (AMOLED) displays will enter mobile phone applications by the end of 2012, and may show up in tablet applications in 2013, reports Jennifer Colegrove, NPD DisplaySearch VP of Emerging Display Technologies. OLED technology advanced rapidly in 2011, a trend that NPD DisplaySearch forecasts will continue through this decade in its recent OLED Technology Report. Progress has been made in organic materials, color patterning, electronic driving methods, and encapsulation. Enthusiasm has increased recently as Samsung Mobile Displays has started manufacturing AMOLED displays in a Gen 5.5 fab, and both Samsung and LG Display have announced plans to build Gen 8 (2200 x 2500mm) fabs, while several other suppliers entered or re-entered OLED display manufacturing, including AUO, CMI, IRICO, Tianma, and BOE. Also read: Samsung Mobile Display sources OLED materials from Novaled

These technology improvements and investments indicate that AMOLED will compete in larger-size applications, such as in TV and mobile PCs, within 2 years. Samsung released a 7.7” AMOLED tablet PC in December 2011, more tablet and other mobile PCs are expected in 2012. Both Samsung and LG are expected to bring 55” AMOLED TV to market in 2012. Also read: Sony, Panasonic combine OLED manufacturing expertise

However, the ability to scale OLED display manufacturing to fabs larger than the current Gen 5.5 has yet to be demonstrated, and the cost of larger panels remains in question. It is not clear if vacuum deposition of the organics at the larger size will be economical, or if printed layers will be practical to reduce costs. Challenges remain for printed and flexible processes, for example, organic material life time is still shorter with solution processes than with chemical vapor deposition.

“I think OLED technology has made good progress and is ready to enter large-size applications, but low-cost manufacturing for large sizes is still a challenge,” says Colegrove. She’ll discuss OLED technology trends, include printed and flexible OLEDs, as well as provide the most recent market forecast in her presentation at SEMICON West.

Panasonic uses printed hole injection layer, continuous evaporation process

On the OLED lighting side, more efficient mass production process technologies developed in part in the Japan’s NEDO research project are enabling production of OLED lighting with brightness of up to 1000 cd/m2 with efficiency of some 130 lm/W in the lab, reports Takuya Komoda, Research Director at Panasonic Corp’s Core Technologies Development Center. He will discuss this technology enabling the Panasonic-Idemitsu OLED Lighting joint venture to produce commercial 2mm thin, ~8cm2 OLED panels with integrated electronics for easy integration by lighting designers, with warm 3000K light and good color rendering (CRI>90), with 10,000 hour life (70% lumen maintenance.)

The manufacturing process is made economical by coating the initial hole injection layer with a slot die printer, and depositing the emitters with a new hot-wall continuous evaporation tool developed with Choshu Industries that increases the deposition rate to 10nm/second and significantly cuts down waste of the expensive emitter materials.

The company got best lifetimes of 150,000 hours to half decay at 1000cd/m2 and 55lm/W efficacy with a fluorescent/phosphorescent OLED system on a light outcoupling substrate.  Using all phosphorescent emitters improved efficacy to over 80 lm/W, while maintaining half decay lifetime at a still respectable 30,000 hours.  The 130 lm/W efficacy was achieved with a 1cm2 OLED fabricated on a hemispherical high-refractive lens.

IMEC uses low-temp metal-oxide TFTs for flexible OLED displays, RFID tags

Imec’s approach to making flexible AMOLED displays laminates a flexible PEN substrate to a temporary carrier, then builds a stack that includes a moisture barrier, backplane with metal-oxide TFTs fabricated at 150°C, an interlayer dielectric, a top-emitting OLED, and a thin-film top encapsulation, reports Serge Biesemans, imec VP of wafer technologies and smart systems, who plans to talk about the new materials and process technologies developed for this stack. Imec’s research program with partner TNO in the Holst centre aims at overcoming the challenges towards high-volume manufacturing of flexible active-matrix OLED displays on flexible plastic foils: high resolution, low power consumption, large area, outdoor readability, flexibility and light weight.

Imec is also making thin film transistors on flexible plastic, combining the n-type transistors of the metal oxide AM backplane with organic p-type semiconductors to make RFID circuits and display line drivers. For the RFID tag, a complementary hybrid organic-oxide technology was used, combining a 250°C solution-processed n-type metal-oxide TFT with typical charge carrier mobility of 2cm2/Vs with a pentacene p-type TFT with mobility of up to 1cm2/Vs. A high-k Al2O3 dielectric was used, which increases the transistors’ current drive.

Imec, Holst Centre and their partners in the EU FP7 project ORICLA have fabricated an RFID circuit in this low-temperature thin-film technology that allows reader-talks-first communication, by transmitting identification data when the reader transmits power to the tag. In retail applications, many tags will usually try to contact the reader at the same time when powered by the RFID reader, requiring an effective anti-collision mechanism, which is complicated and slows reading time. Reader-talks first tags could more simply be used to provide buyers with information on price, characteristics, or freshness, or to allow vendors to implement automated billing and inventory management.

Learn more about the progress of these technologies in markets that matter at the SEMICON West program on printed/flexible electronics, July 12, in San Francisco, Practical Plastic Electronics: Bringing Disruptive Flexible and Organic Materials into Volume Electronics Manufacturing.

Read Paula Doe’s other SEMICON West previews:

Guide to LED and OLED programs at SEMICON West

Guide to MEMS at SEMICON West 2012

MEMS manufacturing changes with HV consumer apps

Maturing MEMS sector looks at ways to work together

For more information on attending or exhibiting at SEMICON West 2012, please visit www.semiconwest.org.

Visit the LED Manufacturing Channel on Solid State Technology and subscribe to the LED Manufacturing News monthly e-newsletter!

July 2, 2012 — Seiko Epson Corporation (TSE: 6724) launched the NX1032XS pick-and-place IC test handler, boasting high throughput for transferring, inspecting, and sorting semiconductors in downstream processes.

The IC test handler transfers finished semiconductors to inspection equipment for electrical, visual and other final performance tests, then sorts them by good/defective or other parameters. It transfers, inspects, and sorts up to 20,000 ICs per hour.

With the ability to transfer 32 semiconductors to inspection equipment at a time, this new model offers twice the capacity of Epson’s previous top model, the NS-8160W. Epson also uses its original Smart Motion Control robot control technology to enable fast movement while maintaining low vibration when transferring semiconductors. In addition, the plate can be heated to enable testing at extreme temperatures of up to 155

June 28, 2012 — SEMICON West 2012 will take place July 10-12 at the Moscone Center in San Francisco, CA. Following is a preview of the light-emitting diode (LED) and organic LED (OLED) events taking place during SEMICON West.

Wednesday, July 11

10:30am-3:30pm

Enabling the Next Generation of HB LEDs

We’ve invited industry experts from across the globe to talk about practical solutions for enabling the economics for the solid state lighting market to take off, focusing particularly on significant new developments in manufacturing technology. The morning addresses some big-picture topics, with Cree’s Mike Watson discussing business model issues, and Canaccord Genuity’s Jed Dorscheimer examining the impact of improving yields on his projections for wide market adoption. Everlight Electronics’ Ilkan Cokgor focuses on potential solutions for reducing the high cost of packaging. Lunera’s Steve Paolini looks at options beyond blue LED emitters. LayTec’s Kolja Haberland discusses the potential impact of in-situ metrology on yield, while EVG’s Thomas Uhrmann talks about better light extraction with efficient alternatives for nano-patterning sapphire.

The afternoon program looks at the status of some potentially disruptive technologies.

Soraa’s Mike Krames updates on GaN-on-GaN technology, while Lattice Power’s Hanmin Zhao presents results of their GaN-on-Si production, and Yole Développement’s Eric Virey gives an overview the state of GaN-on-Si technology across the industry. Seoul Semiconductor’s Brian Wilcox looks at the potential for AC and UV technologies. James Zahler of GT Advanced Technologies shares results of research on which substrate defects turn out to really matter most. And Dan Morrow of Op-Test shows data on using radiometric color measurements to predict final device performance. http://www.semiconwest.org/node/8501 

Location: Extreme Electronics TechXPOT

Back right-hand corner of Moscone South Hall

 

10:00am-6:00pm

Metal Oxide TFT Devices and Technology Workshop

FlexTech Alliance presents a workshop on the state of low temperature, low cost metal oxide TFTs for OLED and LCDs, with speakers from Sharp, CBRITE, PARC, BizWitz, Cambridge NanoTech, Oregon State, Penn State, Eastman Kodak, and Arizona State. Separate registration required. http://www.semiconwest.org/node/9156

Location: San Francisco Marriott Marquis Hotel, 55 Fourth Street (Fourth & Mission), near Moscone Center

3:30-5:00pm

SEMICON West Happy Hour

Location: South and North Halls

 

Thursday, July 12

10:30-11:45

Plastic/Flexible Electronics program looks at the state of OLED lighting technology

The program on printed/flexible electronics also covers OLED technology this year.

Panasonic’s Takuya Komoda will report on the lower- cost production technology enabling the company’s joint venture commercial OLED lighting products. Display Search’s Jennifer Colegrove gives an update on the state of the OLED market and technology for lighting and displays. IMEC’s Serge Biesemans discusses that research institute’s OLED flexible electronics work. http://www.semiconwest.org/node/8536

Location: Extreme Electronics TechXPOT

3:00 PM to 5:00 PM

 

HB-LED Standards Committee Meeting

The HB-LED Standards Committee will review progress towards 150mm sapphire wafer specifications, automation specifications, and evaluation of wafer defects. The wafer task force will report on progress on its sapphire wafer specifications, and on the available results from its cooperative research effort on the survivability of various wafer marks. The automation task force will update on its progress on specifications for open cassettes, load ports, and software communications interfaces. The new task force on wafer impurities and defects will discuss results from its survey on which sapphire defects matter most and how best to inspect for them. See Standards SEMICON West 2012  for more information and to register.

Location: San Francisco Marriott Marquis Hotel, 55 Fourth Street (Fourth & Mission), near Moscone Center

For more information on SEMICON West 2012, please visit www.semiconwest.org. Register now.