Category Archives: Semicon West

June 28, 2012 — An annual guide for navigating the micro electro mechanical systems (MEMS) events at SEMICON West, July 10-12 at Moscone Center in San Francisco, CA.

To get a sense of the scope of MEMS developments and trends, read Paula Doe’s preview articles, MEMS manufacturing changes with HV consumer apps and Maturing MEMS sector looks at ways to work together

TUESDAY, July 10, 10:30am-3:30pm

Taking MEMS to the Next Level: Transitioning to a Profitable High-Volume Business

Presented in cooperation with MEMS Industry Group (MIG)

We’ve expanded the MEMS program to all day this year, and invited speakers from around the world to address practical potential solutions to the major manufacturing issues for growing the sector to the next level. Yole Développement’s J.C. Eloy will give his views on the future of the industry and what it will take to get there. Harmeet Bhugra will talk about IC maker IDT’s entry into the MEMS timing business. Doug Sparks from the new Chinese MEMS IDM Hanking Electronics talks about the opportunities in the China market. Foundry executives Donald Robert from Teledyne Dalsa and Peter Hrudey from Micralyne examine possible collaborative solutions to speed time-to-market. Hillcrest Labs CTO Charles Gritton talks about issues of software integration and sensor fusion.

On the manufacturing technology side, Coventor’s Matt Kamon tells us what’s coming next in MEMS design automation software, Applied Materials’ Mike Rosa talks about next-generation DRIE and other new processes being developed specifically for MEMS production, ‘and Nikon’s Jumpei Fukui discusses the advantages of mini-steppers. In addition, Finnish startup ScanNano’s CEO Andrei Pavlov presents his work making low-cost cavities without etching and NIST’s Janet Cassard introduces standard reference materials and best practices for consistent characterization and troubleshooting of processes for calibrating instruments and communicating between customers and suppliers.

Location: Extreme Electronics TechXPOT at Moscone Center (South Hall). The stage is in the same place as last year, in the far back right-hand corner of the Moscone South exhibit hall.

 

WEDNESDAY July 11, 5:00-7:00pm

MIG Happy Hour

Save the date and time. MIG will host its annual Happy Hour at SEMICON West. Invitations will be sent soon.

THURSDAY, July 12, 10:30am-12:30pm

MEMS and Sensor Packaging

The SEMI Packaging Committee presents a program focusing on MEMS packaging technology issues, featuring Analog Devices’ Asif Chowdhury on issues of packaging MEMS for industrial applications, and Yole Développement’s Jerome Baron on the roadmap for MEMS packaging going forward. Florian Solzbacher from the University of Utah’s Utah Nanofabrication Laboratory presents on biomedical packaging issues, while Marc Bachman from the University of California talks about the future of MEMS manufacturing.

Location: TechXPOT North, Moscone Center (North Hall)

THURSDAY, July 12, 11:45-1:00pm

Flexible Batteries and Flexible Mounting of Thinned Silicon Die on Textiles, Skin Patches and Implants

MEMS folks interested in integrating sensors into innovative wearable, flexible, implantable applications may want to check out presentations in the Plastic Electronics program on patterning flexible batteries on unconventional substrates and embedding thinned conventional silicon die into flexible polymer packaging, from companies who don’t typically show up at MEMS events. Imprint Energy talks about its low-cost, high-energy density Zn polymer battery technology suitable for wireless and wearable sensors, Applied Materials presents its lower cost technology for making thin film batteries, and MC10 describes its conformal electronics packaging targeted at wearable and implantable sensors.

Location: Extreme Electronics TechXPOT, Moscone Center (South Hall)

For more information on SEMICON West 2012, please visit www.semiconwest.org. To register, click Register now.

Applied Materials introduced a new dielectric etch system called the Centura Avatar, designed to meet new requirements in creating the three-dimensional (3D) memory architectures that deliver high-density, terabit storage capability required for tomorrow’s data-intensive mobile devices. More than 30 chambers have been shipped to multiple customers for pilot production. The company expects memory manufacturers to move from low volume to high volume production in 2013. By 2015, a significant portion of memories are expected to be 3D.

The Avatar system etches the deep, narrow features that are a hallmark of 3D NAND memory arrays. These 3D arrays represent an exciting new type of Flash device in which as many as 64 layers of memory cells are built up vertically to create extraordinary bit density in a small area. This is made possible by a new high-density plasma source design, plus new active cooling technology.

The Avatar system can etch holes and trenches in complex film stacks with depth to width aspect ratios of up to 80:1. In addition, the system enables the simultaneous and precise etching of features with greatly varying depths – which is critical to fabricating the "staircase" contact structures that connect each layer of memory cells to the outside world.

June 28, 2012 — Following are some of the process control, device inspection, defect detection, and other metrology and test products that will be on display at SEMICON West 2012, July 10-12 at the Moscone Center in San Francisco, CA.

More previews:

Wafer fab and handling products

Packaging products

450mm WaferSense auto vibration system

CyberOptics Semiconductor added a 450mm form factor to its WaferSense Auto Vibration System family. Users put the wireless, wafer-like product through semiconductor fabrication processes to measure vibrations of wafer transfers in x, y and z dimensions. It can be used to observe and optimize wafer, cassette, SMIF and FOUP motions without exposing process areas to the environment. The data helps establish yield-based vibration standards for equipment, identify vibration sources, and set acceptable acceleration parameters for equipment. Companion vibration monitoring software allows engineers to set  low, high, and band pass filters of equipment vibration frequencies to troubleshoot for vibration-related contamination. The software allows engineers to collect and display acceleration data wirelessly to see the effects of adjustments in real-time. CyberOptics Semiconductor, South Hall, Booth 2406.

Low-cost cryogenic probe station

Lake Shore Cryotronics Inc. will feature its range of cryogenic and cryogenic-free probe stations. The Model TTPX cryogenic probe station is a lower-cost cryogenic micro-manipulated probe station, used for non-destructive testing of devices on full and partial wafers up to 51mm (2”) in diameter. The TTPX measures electrical, electro-optical, parametric, high Z, DC, RF, and microwave properties of materials and test devices. A wide selection of probes, cables, sample holders, and options enable customization for specific measurement applications. Other models are available to meet a variety of parameters, including magnet field, maximum number of probe arms, standard temperature range, high and low temperature options, maximum sample size, vacuum, and sample stage rotation. Lake Shore will also be highlighting the new lower-cost cryogen-free probe station, the Model CRX-6.5K. Lake Shore Cryotronics Inc., Booth 6458.

Hall effect measurement system

Lake Shore’s new 8400 Series Hall Effect Measurement System (HMS) uses AC Hall effect measurement to support researchers exploring the electronic and magneto-transport properties of low mobility electronic materials. The 8400 Series can measure Hall mobilities down to 10-3cm2/Vs, suiting solar cell, organic electronics, transparent conducting oxides, III-V and III-VI semiconductors, magnetoresistors, and other materials. Lake Shore Cryotronics Inc., Booth 6458.

X-Ray diffractometer and reflectometer

Jordan Valley’s Delta-X is a new-generation flexible X-ray diffraction instrument for materials research, process development, and quality control. Featuring fully automated source and detector optics with a horizontal sample mounting, the system can switch between standard and high resolution X-ray diffraction, and X-ray reflectivity modes under full computer/recipe control without the need to manually change configurations. Measurements can be run partially or fully automated, with user-customizable scripts handling the routine work. It is also possible to run the tool in a completely manual mode, to enable the development of new methods or to investigate new materials. Analysis of the data can be fully automated as part of the measurement routine, or analyzed off-line if required. Using RADS and REFS in automated mode, developed for semiconductor manufacturing lines, allows routine analysis to be performed and reported without any user intervention. RADS and REFS can also be installed off-line to allow more detailed analysis. It features high-precision sample positioning and scanning with 300mm Eulerian cradle and full 300mm wafer horizontal mounting and mapping. Pole figures and residual stress measurements are possible due to 100° tilt (Chi) and unlimited azimuthal rotation (Phi). Jordan Valley Semiconductors Ltd., Booth 2141.

Transmission X-ray topography system

The JV QC-TT is a dedicated quality control tool for non-destructive detection of crystalline defects in semiconductor wafers. It inspects Si, GaAs, InP, Sapphire, SiC and other substrates up to 300mm wafers. The tool supports dual resolution for fast detection and detailed examination of defects. Defects include edge defects, fault lines, slip lines, point detects, and embedded defects. Full wafer scan or selected areas scans are possible. X-ray topography can also be used to detect the first “known good wafer” in the ingot. The QC-TT eliminates the “defect-etching” process and the use of hazardous etching chemicals, with faster throughput. The JV-SIA software suite supports reporting on defects and creating defect maps and lists. Jordan Valley Semiconductors Ltd., Booth 2141.

In-line 450mm X-Ray imaging system

The JV SENSUS 450mm/300mm in-line system detects crystalline non-visual defects (NVD) such as edge defects, fault line & slip lines within substrate or product wafers using Transmission X-Ray diffraction. The product solves key issues in the use of expansive 450mm wafers in manufacturing environment, where the thermal stresses on larger wafer are much higher and the wafers are subjected to many more handling steps. Metrology can cover fast selected region or full wafer scan options. Cracks observed in the X-ray images can help determine if the wafer will break during annealing. It can also be used to search for the first “known good wafer” in the ingot. A slice is taken from the ingot and a measurement performed on the JVSenus. The absence of slip and other defects indicates the good region of ingot which can be used. The tool uses JV-SIA software. Jordan Valley Semiconductors Ltd., Booth 2141.

Super-resolution digital microscope

KEYENCE’s VHX Series Digital Microscope integrates advanced zoom optics with a CCD camera and 17” display, light source, controller, and analysis/reporting software. It offers a magnification range from 0.1x – 5000x. Many lighting techniques are also supported including bright and dark field, transmitted, polarized, and differential interference observation. A color filter wheel allows users to choose a specific wavelength (red, green or blue) of light for their samples. A Super Resolution mode combines the blue filter with KEYENCE’s pixel shift technology, capturing images with 25% better resolution. The VHX-2000 can be equipped with a motorized XY stage along with motorized Z-axis lens control. Users can adjust movement in all three axes by using an included control pad. The Image Stitching function can now be completed with just the push of a button, and at much higher speeds, to produce up to a 20,000 x 20,000 pixel image that expands the viewing area by up to 200 times. Automated measurement functions simplify measurement tasks and help to remove variation between different users. The system also has the ability to save a portion of the image/measurements as a template to be used to measure future samples. KEYENCE, Booth 6651.

3D laser scanning microscope

The new KEYENCE VK-X Series 3D Laser Scanning Microscopes combine the capabilities of SEMs and non-contact roughness gauges with the simplicity of an optical microscope. It boasts 0.5nm Z-axis resolution with a magnification range spanning 200x to 24,000x. Usability and ease-of-use have been improved with the addition of the AI-Scan function, allowing users to easily image and measure a target. A short-wavelength laser scans across a target to provide non-contact profile, roughness and thickness measurements, even on targets with highly-angular surfaces.  By combining the laser with an industry-leading, 16-bit photomultiplier, the VK-X can obtain an image and measurement on nearly any type of material, as well as thickness measurements on transparent films and coatings. In order to simplify the operation of the VK-X, the AI-Scan function was developed to automate the scanning process.  Users can simply place their sample on the stage, and by clicking a single button, the system will automatically adjust the sensitivity of the photomultiplier, set the upper and lower limits of the scan range and re-scan the target as needed to make sure all of the necessary information was captured.  By using this function, even inexperienced users can quickly and easily obtain accurate measurement data and high-resolution images. Additional features include a new WIDE-Scan function that is 8x faster than conventional laser scanning microscopes, while also improving the quality of the captured image.  A high-speed auto-focus algorithm has been incorporated into the system, and images can be captured at up to 21.6 megapixels.  All of the measurement functions from our previous VK Series product line have been extended to the VK-X, including the ability to measure the thickness or profile/roughness of transparent materials. KEYENCE, Booth 6651.

RoHS, WEEE & REACH testing & certification

Manufacturers of electrical and electronic equipment who export to the European Union must comply with the REACH (Registration, Evaluation, Authorization, and Restriction of Chemicals), WEEE (Waste of Electrical and Electronic Equipment) and RoHS (Restriction of Hazardous Substances) Directives, or risk losing access to market. TÜV Rheinland provides consulting, testing and labeling services for manufacturers and importers on all matters to do with the requirements of these directives to help companies gain European approvals. TÜV Rheinland, Booth 1825.

Top-load burn-in socket

Aries Electronics is introducing a top-load burn-in socket for new IC pin-out designs. It is delivered in 4 weeks at about $200 tooling costs, compared to other options that take up to three months and run over $30,000. Based on a modular design, the new socket can easily be configured to accommodate devices on 0.3mm-pitch and above, and can be used with BGA, µBGA, QFN, LGA and bare dies as well as a number of other devices like those used for MEMS testing with high acceleration rates. The top load socket helps reduce device damage for equipment under test (EUT) by minimizing several points of over-compression and errors in device insertion.  Devices are loaded and unloaded on the top of the socket without compressing the socket or holding it down.  When engaged, the socket also avoids over-compression with pressure pads that cover a larger surface area to distribute force on the device. A built-in hard stop also reduces insertion force on the PCB. The socket comes in a top load configuration, ready for device insertion, so no special tooling or push plates are required. The manual dual latches can be removed to allow the socket to open when the force is removed for efficient and quick testing of multiple devices. They are mounted and removed from the test board via 2 stainless steel alignment pins. The compression spring probes leave minimal witness marks on the bottom surface of the device pads for increased reliability. Compression spring probes are constructed of heat-treated beryllium-copper, and plated with a minimum of 30 micro inches gold per MIL-G-45204 over a minimum of 30 micro inches nickel per SAE-AMS-QQ-N-290. Contact forces are 15g/contact on a 0.30mm to <0.40mm pitch; 16g/contact on a 0.40mm to <0.50mm pitch and 25g/contact on pitches of 0.50mm or larger.  Estimated contact life is a minimum of 500,000 cycles and operating temperature is -55° to +150°C. Aries Electronics Inc., North Hall, Booth 6063.

 

“Smart” spectrometer

The Exemplar from B&W Tek is a miniature spectrometer with an embedded processor to enable on-board data processing, including averaging, smoothing, and automatic dark subtraction. In addition to these “smart” capabilities embedded directly into its compact form factor, the Exemplar also features “SuperSpeed” USB 3.0 communication with a data transfer of 900 spectra per second. Multichannel capabilities deliver an ultra-low trigger delay of 14 nanoseconds and a gate jitter of +/-1 nanosecond. The ability to control the CCD exposure time to within one microsecond allows users to have unparalleled control over the spectra’s signal-to-noise ratio. It is ideal for demanding applications such as high speed binning & sorting, reaction kinetics, and process monitoring. With the ability to support up to 16 simultaneous channels, the Exemplar is also the perfect solution for simultaneous multichannel analysis, such as multipoint sampling, and laser induced breakdown spectroscopy (LIBS). B&W Tek, Booth 2241.

Bond test tool

The Nordson DAGE 4000Plus Bondtester meets the requirements of emerging test applications including ribbon pull, pad cratering using hot pin pull, bend and fatigue testing. The 4000Plus bondtester uses the next-generation Paragon software providing semi-automatic test routines, automatic GR&R calculation, unique database search engine wizard and superior data reporting. Nordson DAGE, a division of Nordson Corporation (NASDAQ: NDSN), North Hall, Booth 5971.

X-ray inspection system

The Nordson DAGE XD7600NT Diamond X-ray Inspection System features the Nordson DAGE NT maintenance-free, sealed transmissive X-ray tube, providing 0.1 µm  feature recognition and up to 10 W of power, together with the 2 Mpixel XiDAT3 digital image detector makes this system the choice for the highest performance and highest magnification imaging tasks. The vertical system configuration, with the X-ray tube sitting below the isocentric ‘move and tilt’ of the detector, all controlled through the simple, joystick-free, ‘point and click’ operation of the Nordson DAGE Image Wizard Software provides the safe and collision-free inspection required for production applications. Nordson DAGE, a division of Nordson Corporation (NASDAQ: NDSN), North Hall, Booth 5971.

Watch the Solid State Technology website for many more product previews of SEMICON West.

Visit the Semiconductors Channel of Solid State Technology!

June 28, 2012 — Solid State Technology and SEMI today announced the finalists for the 2012 “Best of West” awards, recognizing important product and technology developments in the microelectronics supply chain. Held in conjunction with SEMICON West, the largest and most influential microelectronics exposition in North America, the Best of West finalists have been selected based on their financial impact on the industry, engineering or scientific achievement, and/or societal impact.

The 2012 Best of West Finalists are:

  • The QCTT defect inspection system from Jordan Valley Semiconductor UK Ltd. solves key issues in the use of 450mm wafers in a manufacturing environment, where wafers are subjected to more handling steps and the thermal stresses on larger wafers are much higher. This makes the wafers more prone to breakage, which can be predicted using the QC‐TT. The system can also identify the slip and other crystalline defects in wafers, which may not have catastrophic effects on the substrate integrity but will contribute to a reduction in yield.
  • The NSRS320F Dry ArF 193 nm scanner from Nikon Precision, Inc. is based on the company’s Streamlign platform, to satisfy the demanding non‐immersion overlay accuracy, stability, and ultra‐high productivity requirements essential to cost‐effective 22 nm applications and beyond. The Streamlign platform, which was first employed on immersion scanners, provides industry‐leading overlay accuracy ≤ 3 nm with throughput ≥ 200 wafers per hour (WPH).
  • X-Plane Analysis from Nordson DAGE is an option for the company’s DAGE range of X-ray inspection systems. It uses a tomosynthesis technique to create 2-D X-ray slices in any plane of a semiconductor device or printed circuit board assembly. The user can get a very high level of detailed information about potential failures without the need to destroy the sample,  usually necessary with traditional CT systems.

The selection of finalists was made by a prestigious panel of judges representing a broad spectrum of the microelectronics industry.

The Best of West Award winner will be announced during SEMICON West on Wednesday, July 11, 2012 at 1:00pm.

About SEMI

SEMI is the global industry association serving the nano- and microelectronic manufacturing supply chains. SEMI member companies are the engine of the future, enabling smarter, faster and more economical products that improve our lives. Since 1970, SEMI has been committed to helping members grow more profitably, create new markets and meet common industry challenges. SEMI maintains offices in Beijing, Bengaluru, Berlin, Brussels, Grenoble, Hsinchu, Moscow, San Jose, Seoul, Shanghai, Singapore, Tokyo, and Washington, D.C. For more information, visit www.semi.org

About PennWell

PennWell Corporation is a diversified business-to-business media and information company that provides quality content and integrated marketing solutions for the following industries: Oil and gas, electric power, water and wastewater, renewable, electronics, semiconductor, contamination control, optoelectronics, fiberoptics, enterprise storage, converting, nanotechnology, fire, emergency services and dental. Founded in 1910, PennWell publishes over 120 print and online magazines and newsletters, conducts 60 conferences and exhibitions on six continents, and has an extensive offering of books, maps, web sites, research and database services. In addition to PennWell’s headquarters in Tulsa, Oklahoma the Company has major offices in Nashua, New Hampshire; Houston, Texas; London, England; Mountain View, California; Fairlawn, New Jersey, Moscow, Russia, and Hong Kong, China.

The high-volume consumer applications driving the fast growth of the micro electro mechanical system (MEMS) market are putting new demands on MEMS development and manufacturing. Goals? Get products to market faster, make them easier to integrate into systems, reduce die size; and better control processes to bring down costs. That’s attracted investment from the supply chain in a range of new solutions, from innovative new process technologies and tools developed specifically for MEMS sector needs, to more efficient integrated design software.

June 27, 2012 — The MEMS sector is poised for a multiyear period of steady double digit growth, with 20% average annual increases in unit demand, as systems makers find ever more uses for low cost, easy-to-integrate silicon sensors and actuators, reports Jean Christophe Eloy, founder and CEO of Yole Développement, driving the MEMS market to double, to reach $21 billion by 2017. Volume consumer markets are driving much of this growth, as consumer applications accounted for more than 50% of total MEMS industry revenue in 2011. And that’s turning the once artisanal niche into a high volume production business, and bringing rapid technology change, with a push for speeding time to market, sharply scaling down die size, increasing integration of multiple sensors into combination units, and bringing more packaging value to the wafer level. “2011 has been the year of the transition of the MEMS market into big business with wide diffusion,” says Eloy. “But the ability of MEMS manufacturers to continue to scale size and cost, and to simplify development and system integration will directly impact the growth of MEMS business.”

Figure. Common model of an accelerometer being used as a block in the control system design stage. A common model reduces design iterations by allowing teams to easily move between design stages to identify failures and optimize the system. SOURCE: Coventor.

 

Meeting time-to-market needs with more efficient design tools
While decreasing time to market and cost reduction have always been key drivers, the short product cycle times associated with mobile consumer devices have forever changed the industry. “Development time is now measured in months, not years,” notes Mattan Kamon, Coventor’s Principal Technologist. “However, design is still mostly done using traditional research approaches, where different models are used at different stages of the design, costing valuable time.” Coventor’s approach accelerates MEMS product development by using a common model for all stages of design. An engineering team can use a single model to develop and optimize the MEMS device concept, tune and validate the design using 3D simulations, perform system simulation together with the ASIC, investigate packaging effects, and optimize yield. All of these steps can be performed using a single model, enabling MEMS teams to easily move back and forth between the design stages, identify failure mechanisms, and optimize the system.

Kamon argues this methodology has the accuracy to address integration effects and can optimize the nominal behavior and the range of behavior across a wafer due to fabrication variations. Coventor’s approach couples a library of high-order finite element models specialized for MEMS with judicious use of low-order finite element simulations, and uses the same simulators, namely MATLAB, Simulink, and Cadence Virtuoso, that are most widely used for analog/mixed-signal design. This holds potential for a MEMS verification flow that closely parallels the verification flow for analog/mixed signal design, and paves the way for a fabless MEMS industry complete with MEMS design kits (MDKs).

New processes to make low-cost cavities without etching

Finnish startup Scannano proposes that MEMS die size and cost could be significantly reduced, and performance improved, by creating sealed cavities in devices by using a controlled diffusion process, instead of by the traditional method of etching sacrificial layers and bonding on a cap wafer.

Following on from research with Nokia’s Research Center and Cambridge’s Cavendish Laboratory, company founders Andrei Pavlov and Yelena Pavlova came up with the idea of shrinking away buried layers in a device by through diffusion to create a vacuum gap, allowing the use of standard CMOS materials and equipment. The process deposits a proprietary multi-layer diffusion material, builds the MEMS structure over it, and then submits it to a series of processing steps to shrink the diffusion material. This creates a very accurate sealed vacuum cavity of the desired dimensions and configuration. “The gaps can be from a few nanometers to up to a micron deep, and can be vertical or at an angle, or multiple gaps could surround a structure, opening up the possibility of new types of MEMS designs,” says Pavlov. He also claims that shrinking features to 50-100nm can also reduce operating voltage to only a few volts and reduce heat, while the very smooth surfaces help to improve sensitivity, signal-to-noise ratio and performance.

The first application for Scannano’s Deep Vacuum Gap Technology is a tunable capacitor and switch for multiband tunability for mobile phones, under development with STMicroelectronics and tentatively targeted for initial trial production on a CMOS line by the end of the year. The new device adjusts operating frequencies by changing capacitance through moving membrane-like MEMS structures, created by adjusting gap dimensions above and below the membrane. Pavlov says work with ST has been progressing for about a year, and is now moving towards final device design and testing. Scannano is also working with other European CMOS device manufacturers to develop sensors for the automotive market, monolithically integrated with the ASIC in their CMOS fabs.

Figure. MEMS structures with aspect ratios of >100:1. SOURCE: Applied Materials.

Volume markets attract investment in dedicated MEMS processes and tools

Fast growing MEMS volumes have also attracted the attention of more semiconductor players, including equipment giant Applied Materials. Applied has invested aggressively in development of new film and process technologies to support current and future generations of MEMS production at ≤200mm wafer sizes, focusing on shrinking die size, improving throughput, and integrating MEMS processes into CMOS fabs, says Mike Rosa, MEMS product line manager. This includes DRIE technology critical for both increased productivity and process flexibility as next generation MEMS devices enter the sub-micron range of critical dimensions, with aspect ratios of >100:1 (see the figure above).

Applied Materials is also working on modifying its PVD and CVD equipment to make a variety of enabling films of new materials for MEMS, including thick (>20µm), low temperature CVD films (SiO2, SiGe, etc.); and PVD films such as magnetically aligned NiFe, high uniformity AlN and thick Al.

Tool vendors will need to be increasingly attuned to the MEMS device capability and technology requirements of their customers’ customers, the fabless device designers and systems companies, notes Rosa. “In the MEMS industry there is no traditional roadmap, like the ITRS, to define the future,” he says. “It will take a much more collaborative effort by all parties — tool vendors, device manufacturers, and end-market product developers — to define and deliver the next generation MEMS designs that are destined for the newest ‘next big thing’ products.”

Also focusing on enabling tools for next generation MEMS is Nikon, with a new stepper with a large depth of focus specifically for the 200mm MEMS market. Though MEMS makers have traditionally used lower cost aligners to make their relatively large patterns, now finer features and tighter design rules may increasingly require the higher resolution and better alignment accuracy of steppers. But IC steppers are typically expensive and not well suited to the extreme topographies of MEMS. This Mini Stepper has ≤0.35µm overlay accuracy and resolution to 2µm, and depth of focus capabilities up to 26 µm for the thick resists and deformed substrates typical of MEMS, reports Junpei Fukui, Nikon Engineering assistant manager. It also offer flexible alignment to compensate for MEMS’ process-induced distortions, as well as alignment by pattern matching and backside marks.

These and other speakers including IDT, Hanking Electronics, Teledyne DALSA, Micralyne and NIST discuss solutions for growing the MEMS sector to the next level at SEMICON West, July 10 -12 in San Francisco. See http://semiconwest.org/Segments/MEMS for the complete agenda, and http://semiconwest.org/Participate/RegisterNow to register.

Read on for a SEMICON West preview from Doe on collaboration in the MEMS ecosystem.

June 27, 2012 — SEMICON West is taking place July 10-12 at the Moscone Center in San Francisco, CA. Following are new products for the lithography step of semiconductor manufacturing, including photoresist coaters and ashers.

 

Microoptics-based homogenizers in CaF2

Jenoptik is demonstrating its manufacturing capabilities for micro-optical structures in CaF2, especially for 193-266nm wavelengths, presenting various CaF2 homogenizer arrangements. Homogenizers, such as microlens arrays or diffractive optical elements (DOEs), are used in optical systems of semiconductor and flat panel display manufacturing and inspection equipment to help define the distribution of light over a particular area in a certain plane of the optical beam path. CaF2 boasts a high damage threshold. Jenoptik uses an advanced micro-structuring process with grayscale technology and a sophisticated wafer-level etching process to fabricate customized refractive, diffractive and hybrid structures even with asymmetric shapes and radii. Free geometries are generated, and the microstructuring process is reportedly accurate and reproducible with various beam distribution patterns. Standard manufacturing processes are available for optical materials such as SiO2, GaAs, GaP, Al2O3, ZnS, ZnSe, Ge, Chalcogenide, etc. Qualified testing at operating wavelength guarantees the quality of optical product properties. Jenoptik Optical Systems division, South Hall, Booth 1641.

 

Photoresist coaters

Spintrac Systems will exhibit innovations in photoresist coater technology including centering, dispensing and indexing. Many of the company’s systems work in a 24/7 production environment while others are utilized in R&D facilities in nanotechnology, flat panel displays and process chemicals. Spintrac Systems has made innovations in its photoresist coating equipment including patent-pending dual-wafer centering for quick substrate size changes; self-centering, self-calibrating Traversing Dispense Arm (TDA) for accurate positioning and unique dispensing capabilities; and proprietary indexer for compact footprint and reduced maintenance.  Spintrac Systems, Inc., formerly SITE Services, Booth 2346.

 

New technology advances and manufacturing methods

SEMATECH and International SEMATECH Manufacturing Initiative (ISMI) will report their latest advances in new materials and device structures and lithography with a special focus on addressing key opportunities and challenges in 3D interconnect technology. Raj Jammy, SEMATECH’s vice president of Materials and Emerging Technologies, “Emerging Semiconductor Technologies – a Heterogeneous World on Silicon,” July 10 at 10:30 a.m. Paul Kirsch, SEMATECH’s director of Front End Processes, “Challenges and Opportunities in High Mobility Ge/III-V Channels and Devices,” July 10 at 2:10 p.m. Stefan Wurm, SEMATECH’s director of Lithography, “EUV Lithography: Remaining Challenges to HVM Introduction,” July 11 at 10:30 a.m. Bill Ross, ISMI’s project manager, “Tool Obsolescence and Sustaining Legacy Manufacturing,” July 11 at 1:40 p.m. SEMATECH, international consortium of leading semiconductor device, equipment, and materials manufacturers, South Hall, SEMICON West TechXPOT Stage.

 

Photoresist asher

SPEC Equipment has developed a new PC-based system for the classic GaSonics photoresist asher. The SPEC 3510 PC replaces the legacy GaSonics L3510 with improved performance and efficiency. The 3510 PC is a downstream photoresist removal system that utilizes time-tested process hardware components, while replacing legacy control items and other obsolete devices and hardware. It offers a newly designed contemporary control system, a full-color GUI, real-time graphing, and saved data recall. Users have access to full diagnostic software, real-time graphics and feedback, and unlimited process recipes with a SECS II interface. Field upgrades take about 4 hours. The new system is capable of up to 4 MFCs. It features 75-200mm wafer capabilities; GaAs, sapphire, and silicon. SPEC Equipment, Booth 647.

 

Lithography for monolithic 3D integration

Monolithic 3D has invented several techniques to obtain monolithic 3D integration with crystalline silicon transistors and copper wires at the most advanced lithography. 3D Repair and Redundancy enables reliable operation for systems with multiple logic and delay defects, and can provide a high tolerance for soft errors and field repair. Ultra large system integration can be achieved without prohibitive yield issues. Monolithic 3D’s Gate Array IC technology can be applied to producing a monolithically stacked single crystal silicon wafer scale Continuous Array with custom, etched scribelines. Chiplets can be added with functions such as I/O and analog. Monolithic 3D, Booth 6775.

Check out more exhibits previews, for front-end wafer fab tools and wafer handling products, back-end packaging products, and more.

June 22, 2012 — SEMICON West is taking place July 10-12 at the Moscone Center in San Francisco, CA. Following are new products for semiconductor packaging and test that will be at the show, from wafer bonders to LED die attach materials.

Automated temporary bonding/debonding system

The EVG850 TB/DB XT Frame from EV Group is an automated temporary bonding and debonding system for thin wafer handling, configured to address high-volume 3D IC and TSV manufacturing. The system temporarily bonds a device wafer to a rigid carrier wafer for safe and efficient processing of the device wafer. After subsequent processing (back thinning, lithography, metallization, etching, through via processing, etc.), the device wafer is debonded from the carrier substrate using various techniques dependent of the intermediate material. The system can be configured for LowTemp debonding methods like ZoneBOND technology with the required EZR (Edge Zone Release) and EZD (Edge Zone Debond) modules. A thermal-activated, mechanical slide-off is utilized for thermo-plast materials, while UV-exposure and lift-off debond is utilized for UV-activated tapes. It accommodates up to 9 process modules and boasts a continuous-mode operation with an ultra-fast handling system, up to 4 FOUP load ports, a material buffer in the form of a local FOUP storage system holding up to 10 additional FOUPs, and in-line metrology module option. EV Group, South Hall, Booth 719.

Electrochemical deposition tool

TEL NEXX’s new plating tool, Stratus Thunder, is used for electrochemical deposition of TSV, middle and interposer, lead-free, copper pillar, and RDL advanced packaging materials. Stratus Thunder features a low-cost vertical plating architecture and additional features improve its productivity by up to 50%. TEL, South Hall, Booth 1531.

Spray coater

The MAX-800 is a large format, high speed X-Y-Z spray coating system designed for the thin, uniform application of a variety of coatings and suspensions used in semiconductor packaging, display manufacturing, fuel cell manufacturing and medical device manufacturing applications.  The system features USI

June 18, 2012 — Following are some of the new and flagship wafer fab products and supporting facility products, like vacuum valves, that will be on the show floor at SEMICON West, from ion implant to MOCVD traps and gas blenders.

High-current ion implant

The Applied Varian VIISta Trident single-wafer, high-current ion implant system embeds dopant atoms on 20nm wafers at high yields. The VIISta Trident precisely tailors dopant concentration and depth profile to optimizing dopant activation and suppress defects in the extension, source/drain junction and contact regions at 20nm. The tool has a proprietary dual-magnet ribbon beam architecture for enhanced performance at low energy. The system’s Energy Purity Module virtually eliminates high-energy species that can "smear" the transistor channel. Integrated cryogenic technology enables production implants as low as -100°C. Applied Materials Inc., South Hall, Booths 407, 552, 847, 1135, 2051, 2219.

 

Ion beam system

MicroSystems’ new IonSys 800 precision ion beam tool provides structuring and finishing processes down to a sub-nanometer scale. It features proprietary ion beam sources and is fully compatible with reactive ion beam processing based on fluorine and chlorine gas chemistry. The IonSys 800 system suits industrial ion beam etching and deposition processes with high quality and productivity requirements. Its automatic handling robot features a cassette load-look and can be configured in cluster layouts. MicroSystems, South Hall, Booth 1924.

 

Fluid dispenser

GPD Global will give live demonstrations of the new Island 3S benchtop manufacturing solution for LED manufacturing. The dispense system features a large work area of 12" x 16", an easy-to-use touchscreen interface and will be configured with a PCD dispense pump. GPD will have a display of dispense pumps to cover a wide range of dispensing applications. The Hyflow dispense pump suits heavy fluids such as thermal grease, the MicroDot valve will be on display for precision small volume dispensing such as conductive adhesives and solder pastes. Additionally, the Syringe mixing system format enables homogeneity of fluids such as silicone with phosphor. GPD Global, North Hall, Booth 6085.

 

MOCVD trap

Nor-Cal Products provides MOCVD device manufacturers increased up time with their new three-stage trap. Features include a removable water coil assembly and particle filter with 50% greater capacity. The trap proffers lower initial cost, lower cost of ownership, high capacity for extended PM, and ease of cleaning in GaN MOCVD reactors; AsP MOCVD reactors; and Aixtron G3, G4 and G5 systems. Nor-Cal Products, South Hall, Booth 2441.

Manual wafer handling tool

Virtual Industries Inc.’s new WV-9000 WAFER-VAC System handles wafers and solar cells without requiring in-house air plumbing. The compact, general-purpose wafer vacuum handling tool plugs directly into 110 Volt 50/60 Hz, and is ESD safe and Class-100 cleanroom compatible. Its diaphragm vacuum pump generates up to 10” of mercury with an open air flow of 2.3 lpm. The WV-9000 comes with a push-button wafer tip pen VWP-500-2.5mm, and a 6 foot clear coiled vacuum hose (VCH-2.5mm-6). Virtual Industries, South Hall, Booth 2427.

 

Aluminum transfer valves

HVA’s new high-performance aluminum slit valve range offer a slim profile and low vibration/particle levels, with reportedly light weight and high strength. Each valve component meets the throughput requirements of coating systems with up to 3 million cycles before maintenance. The valves are available in a range of sizes up to 2000mm as well as standard MESC 200/300/450mm sizes. HVA, South Hall, Booth 2625.

 

Gas blending system

Blixer from SEMI-GAS Systems is a custom gas blender that provides a continuous flow of precisely blended gases. It can blend two component-forming gas mixtures or mix three, four or more gas components into uniform, controlled mixtures. Blixer gas blenders meet application-specific requirements for flow, pressure, mixture percentage and blending accuracy. The gas mixture percentages can be adjusted by the operator during use via the onboard touchscreen interface. Blixer can support fluctuating usage and variable flow rates from multiple points, each with independent duty cycles. It uses mass flow controllers and offers an optional gas analyzer. Blending routines are completely automated. Blixer continuously monitors system performance and safety conditions with auto shutdown or notifications on alarms. SEMI-GAS Systems, a division of Applied Energy Systems Inc., South Hall, Booth 810.

Integrated vertical and rotation stage

Newport Corporation’s ZVR specialized Integrated Vertical and Rotation Stage, the ZVR-PP with a stepper motor or the ZVR-PC with a servo-driven DC motor, is used for semiconductor wafer positioning, metrology, inspection, and repair, it is also useful in LED production and applications such as 3D scanning, digitizing models, and validation. Newport’s ZVR features a compact and rigid design with improved cantilevered load capacity. With significantly lower cross-talk than earlier designs, the new stage delivers higher dynamic system performance in a low-profile footprint. The low mass, along with a high natural frequency, accommodates a variety of rapid step-and-settle positioning applications. The ZVR vertical platform has a wide, 3-point base with a stepper-motor-driven 10mm Z-stage. The integrated stainless steel rotation stage features smooth operation with stainless steel ball bearings. The rotation stages provide continuous 360° rotation for angular travel. An optional linear encoder for the Z-stage, or the Z-stage without the rotation stage, are also available. The three-point bottom interface permits mounting to any XY stage or other platform. A large, clear aperture through the center of the stage simplifies electrical cable and vacuum-chuck cable/hose management. All electrical and vacuum chuck utility connections are located together. Newport Corporation, South Hall, Booth 1507.

Transfer valves for 200-450mm semiconductor wafers and LED wafers

VAT Vakuumventile AG launched a transfer valve designed for semiconductor applications handling 200mm, 300mm and 450mm wafers and HB-LED applications. VAT REAL L-MOTION valves handle corrosive process environments in etch and CVD tools. The actuator has integrated, mechanically triggered sequence control with a fully protected bellows feedthrough. VAT REAL L-MOTION valves allow for easy access gate exchange through the top cover; no adjustment is required after service. Uniform sealing compression is achieved due to Real L-motion movement and an adjustable closing force (depending on elastomer), minimizing particle generation from the seal. The valve is pneumatically operated and locked in closed and open position. VAT offers standard and customized valves. VAT, South Hall, Booth 919.

 

FKM+PTFE seal for vacuums

VICTRA-ER from VALQUA is an advanced “hybrid” FKM+PTFE seal, combining semiconductor-grade FKM (fluoroelastomer) for high-vacuum sealing with a PTFE “liner” for radical resistance. VICTRA-ER suits harsh RF and microwave-based plasma environments, with the vacuum seal maintained by the high-performance FKM material, and plasma radicals resisted by high-purity PTFE that won’t introduce particles or metallic elements into the process. VICTRA-ER withstands operating temperatures up to 200°C. It can be used in semiconductor applications: plasma etching (metal, poly, oxide), CVD (PECVD, HDP-CVD), PVD, plasma ashers/strippers, and other plasma-based IC manufacturing processes. VICTRA-ER seals are available in AS568A-, KF-, and NW-standard sizes or special sizes upon request. VALQUA offers seal designs based on specific groove designs, counterface materials, and location within the chamber (e.g. lid area, wafer chuck, gas injector, showerhead, foreline/exhaust line, etc.). VALQUA, Booth 1430.

Vacuum pumps and abatement systems

Edwards will showcase dry vacuum pumps at SEMICON West that use less energy and need less maintenance than oil-sealed pumps. Edwards’ abatement systems also reduce operating expenses and maintenance requirements while maintaining a minimal environmental footprint, the company says. Edwards will feature the STP-iXR1606 series of magnetically-levitated turbomolecular pumps (TMP), which offer 40% improvement in throughput and an increase of nearly 90% in maximum gas flow, compared to existing products. Also highlighted in the booth are the GXS 450 and 750 series of dry vacuum pumps that meet high-throughput solar lamination process needs. Edwards, Booth 5351.

SiC tool components

Bridgestone is launching high-performance silicon carbide (SiC): PureBeta for peripheral semiconductor processing equipment components. The precision-machined components are made of ultra-high-purity sintered SiC with high thermal conductivity, high electrical conductivity, and durability in chemicals. Products include wafer process components such as wafer-holder plate for SiC-Epi/MOCVD, dummy wafers, disc plates, rings and heater filaments etc. 450mm parts are now available. Bridgestone, South Hall, Booth 447.

Ultra-high-purity gas analyzer

The newest addition to Tiger’s HALO family line, the HALO KA, provides a compact, ultra-high-purity gas analyzer. Its modular construction features ease-of-use with freedom from calibration, consumables, and routine maintenance costs. The laser-based HALO KA offers sensitivity, stability and low detection levels for ultra-high-purity analysis. Various gases can be detected in the most complex matrices, including hydrides, fluorides and corrosive gases. The new ALOHA+ H2O trace moisture analyzer for ultra-high-purity gases offers HB-LEDs manufacturers extreme sensitivity to moisture in ammonia. Tiger Optics, Booth 1201.

Low-cost plasma etching system

Plasma Etch Inc.’s low-cost benchtop plasma cleaning system, the PE-50, for semiconductor and similar applications is now available in an expanded chamber version with high rate etching capabilities, the PE50XL-HF. The PE-50XL-HF uses a new expanded 8" x 8" x 4" aluminum vacuum chamber for accommodating substrates/wafers up to 6" diameter. The system incorporates a 100W 13.56 MHz RF power supply with automatic RF tuning. The PE-50XL-HF also includes 2 gas meters for independent gas flow control or gas mixing introduction into the vacuum chamber. A 5 CFM vacuum pump charged with Krytox oil for Oxygen compatibility is included, with a dry pump optional. Plasma Etch Systems uses PLC control systems for automatic process sequencing. A keypad is used for operator entry and one complete process recipe can be displayed and stored in memory for reliable operation and repeatable results. Applications include photoresist ashing, etching of Si/Oxides/Nitrides and polymer etching. The plasma process also increases surface energy enabling a hydrophilic surface improving bond strength. Plasma Etch Inc., Booth 6566.

 

Springless diaphragm valves

The Swagelok DE series ultra-high-purity springless diaphragm valves features a formed aluminum housing on a 1.125 in. footprint. The valve is designed for modular gas systems. Swagelok eliminated the threads that typically connect the actuator components and attach the actuator to the valve body, allowing larger-diameter pistons in the same standard footprint. The center cylinder of the actuator design provides an additional active piston to increase the force output of the actuator. The formed actuator also reduces the valve height. A smaller body in the design efficiently uses 316L VIM-VAR material. A fully contained seat design minimizes chemical and thermal swelling. Swagelok provides corner chamfers on the outlet side of the body to indicate flow direction (top view) and match actuator orientation for installation. The DE series has a high-integrity all-welded seal to atmosphere. After undergoing SC-01 ultrahigh-purity cleaning, the diaphragm is hermetically sealed in the clean room environment. Stresses are reduced on the welded seal at high flow rates. A proprietary weld isolation ring protects the weld from the cyclic actuation load of the valve.  Available with pneumatic or manual actuators, the DE series can be ordered in two- or three-port configurations. The pneumatic actuator is permanently attached to the body assembly. Normally closed and normally open models have a color-coded indicator button. The manual actuator offers one-quarter turn window handle for quick actuation. The handle orientation to body is fixed for ease of installation. The valve is available in both C-seal and W-seal designs. The W-seal features a specially designed bolt retention ring that rolls down on the cylinder to keep bolts in place during assembly and reassembly. Swagelok, South Hall, Booth 1431.

Upcoming SEMICON West previews: Metrology, inspection and test products, packaging products, lithography tools, and more.

December 12, 2011 — SEMI is looking for presenters for technical sessions and other opportunities at SEMICON West 2012, July 10-12 in San Francisco, CA.

SEMICON West 2012 will feature more than 40 hours of technical sessions and presentations across three show floor technology stages — the TechXPOTs — focused on critical industry topics shaping design and manufacturing of semiconductors, high-brightness (HB) LEDs, MEMS, printed and flexible electronics, and other related technologies.

SEMI is soliciting technical presentations in topic areas including:

Wafer Processing:

  • Emerging Architectures for Logic and Memory
  • Advanced Materials and Productivity Solutions
  • Advanced Lithography

Test:

  • Probe Card/Handlers
  • Semiconductor Test Strategies
  • ATE
  • Adaptive Test

Packaging:

  • Contemporary Packaging Technology and Productivity Solutions
  • New Packaging Solutions
  • Packaging Materials
  • Trends and Opportunities in 3D-IC
  • Testability and Thermal Management of 3D-IC
  • Interposer Solutions for Packaging

"Extreme" Electronics:

  • Opportunities in MEMS
  • High-brightness LED Manufacturing
  • OLED Manufacturing
  • Printed and Flexible Electronics

Submit an abstract (maximum 500 words) focused on the latest developments and innovations in these technology areas, inclusive of supporting data. The deadline for abstract submission is March 15, 2012. Submissions may be made online from the SEMICON West 2012 website at:  www.semiconwest.org/Participate/SPCFP.

On-line submission for abstracts is now available at: www.semiconwest.org/node/8311. Contact Agnes Cobar at [email protected] with questions.

SEMICON West is an event for the display of new products and technologies for microelectronics design and manufacturing, featuring technologies from across the microelectronics supply chain, from electronic design automation, to device fabrication (wafer processing), to final manufacturing (assembly, packaging, and test), as well as emerging technologies. For more information on SEMICON West 2012, please visit: www.semiconwest.org  

SEMI is the global industry association serving the nano- and micro-electronics manufacturing supply chains. For more information, visit www.semi.org.

August 12, 2011 — Tom Hausken, Strategies Unlimited, shares his light emitting diode (LED) forecast, including drivers like new LED backlights and general LED lighting, in a video interview from SEMICON West 2011. He sees 13-15% LED industry growth in the future, after nearly double growth from 2009 to 2010.


High-brightness LEDs (HB-LEDs) started out in commercial applications with automotive uses, then mobile phone backlights. Now, LED TV backlights are driving a growth surge. Once this market is saturated, price erosion should naturally occur, Hausken says. LED lighting is also pushing a cycle of LED growth.

Manufacturing issues? Metal organic chemical vapor deposition (MOCVD) is critical, but it is slow and expensive, Hausken notes. To ramp up LED production, makers need many MOCVD machines. However, Strategies Unlimited thinks that only a percentage of the MOCVD systems shipping now are going to be used in production, as operation expertise lags behind machine purchases and shipments.

While there will be a shake-out in the market, as competition takes place for top suppliers, low-end supplier dominance, etc., Hausken is not concerned about a bubble in LED markets. He also sees global LED manufacturing options, not simply China-based production alone.

More from SEMICON West 2011

Subscribe to Solid State Technology/Advanced Packaging.