Category Archives: Top Story Right

April 16, 2012 — Barclays Capital is raising its total lithography units forecast by more than 10 systems, from 234 to 251 in 2012. As many as 260 litho tools could be purchased for semiconductor manufacturing, as foundries are seeing high demand for 28nm chips.

Tool estimate breakdown:

  • i-Line: maintain 66 units.
  • KrF: up from 75 to 90, thanks to foundry/advanced logic spending.
  • ArF dry: 1 fewer on a change to Intel’s orders.
  • Immersion: 85 units up from 82, with the same drivers as KrF tools. With the right conditions, immersion tools could hit 90 units in 2012.
Figure. Unit forecast model by litho sub-segment. Source: Barclays Research.

Barclays notes that ASML is said to be trying to accommodate the pull-in of 3 immersion lithography tools to GlobalFoundries’ Dresden fab into the June quarter, but current demand from Samsung and TSMC is making this difficult. Barclays blames yield problems at 28nm for TSMC’s additional wafer starts at its Fab 15. Samsung is also converting a line in its Austin fab from NAND Flash memory to system LSI, thanks to a Qualcomm order for 28 SION (10k will go to QCOM, other 20k to others), which requires additional immersion tools.

Incremental KrF demand will come from Intel, though mostly in 2013, to support 14nm node semiconductor production. Barclays expects no change to its Intel forecast for 2012, which is a decline of 38% from 2011. In 2013, immersion tool demand will ramp, with Intel moving to double-patterning at the 14nm node. This will pick up in Q3 2012 bookings with litho suppliers.

In light of Elpida’s bankruptcy, Barclays removed Elpida/Rexchip from the forecast, though the analysts see incremental shrink buying from DRAM players, and leave the NAND forecast largely intact. Memory chip fab is “clearly weak,” says Barclays analysts, but outside of Elpida/Rexchip, capex plans remain largely intact, especially with Samsung repurposing some of its NAND capacity. NAND capex should grow in 2013.

What about EUV? Check out a comprehensive EUV lithography model for the R&D/near-pilot line lithography technology, by KLA-Tencor and Hynix Semiconductor Corp.

April 16, 2012 — Positives in the light-emitting diode (LED) space — improving utilization levels at the Taiwanese LED makers, moderating LED average selling prices (ASP) declines, and gradual growth in LED lighting demand in the US — should materialize for global LED manufacturers, says Barclays Capital’s Olga Levinzon.

However, this won’t translate immediately into increased capital expenditures on LED manufacturing equipment. There is no significant consolidation yet among Chinese players, and utilization levels in Taiwan, though improved, are still not sufficient to require new capacity adds. Revenue at the top nine LED chip and packaging houses fell 3% Q/Q, notes Maxim Group, but growth improved each successive quarter with a 10% decline in January turning into 14% growth in February and 16% growth in March. While the Taiwanese producers are more exposed to backlighting than general lighting, Maxim asserts that they still serve as a general barometer of industry-wide utilization. Epistar, Everlight, and sapphire producer Sino American anticipate utilization rates >90% in Q2.

Figure. Revenues at Taiwan’s LED chip makers and packaging houses by quarter. SOURCE: Maxim Group equity research.

This unsteady environment could extend through at least mid-summer 2012, with limited forecasts for the timing/magnitude of a recovery. Barclays assumes a normalized metal organic chemical vapor deposition (MOCVD) tool market of ~525 tools annually; IMS Research puts the number closer to 342 in 2012.

Barclays predicts continued order weakness for AIXTRON. Also, AIXTRON’s full EUR 137M backlog exiting Q2 2011 will likely not be converted in H1 2012 due to continued push-outs from Chinese customers. AIXTRON will likely sell ~10 MOCVD tools in Q1, with additional revenue from tools for display, power electronics, and other fab markets; and from services. There will be modest growth ahead in MOCVD and power electronics manufacturing equipment sales. Barclays predicts AIXTRON will ship ~23 tools for LED fab in H1 2012 and ~80 in H2.

The other major MOCVD supplier for LED makers, Veeco (VECO), will see H1 MOCVD sales lag, though the company should be buoyed by orders from the data storage product fab sector until LED makers start ordering more aggressively in H2. Expect Veeco to ship ~29 MOCVD chambers in Q1 2012, vs. ~71 in Q4 2011.

Visit the LED Manufacturing Channel on Solid State Technology and subscribe to the LED Manufacturing News monthly e-newsletter!

April 13, 2012 — Georgia Institute of Technology researchers have used magnetic repulsion force as a fixtureless, noncontact tool for measuring the adhesion strength between thin films in microelectronic devices, photovoltaic cells, and micro electro mechanical systems (MEMS).

The magnetically actuated peel test (MAPT) could help electronics engineers understand and predict delamination/debonding, and improve resistance to thermal and mechanical stresses.

Figure 1. A specimen fabricated for the magnetically actuated peel test (MAPT). The silver cylinder in the center is the permanent magnet. SOURCE: Thin Solid Films.

The right materials will enable smaller, higher-performance, reliable electronic devices, said Suresh Sitaraman, a professor in the George W. Woodruff School of Mechanical Engineering at the Georgia Institute of Technology. “This technique would help manufacturers know that their products will meet reliability requirements, and provide designers with the information they need to choose the right materials to meet future design specifications over the lifetimes of devices.”

Thermal stresses occur when different layers within an electronic device have mismatched coefficients of thermal expansion (CTE), and will cause layers to separate. Researchers want to know if these layers will separate as the device is used over time, eventually causing failure, said Sitaraman.

Figure 2. Georgia Tech School of Mechanical Engineering professor Suresh Sitaraman (left) and doctoral student Gregory Ostrowicki (right) examine a specimen (seen in Figure 1) fabricated for the magnetically actuated peel test (MAPT). SOURCE: Thin Solid Films.

Sitaraman and doctoral student Gregory Ostrowicki have used their technique to measure the adhesion strength between layers of copper conductor and silicon dioxide (SiO2) insulator. They also plan to use it to study fatigue cycling failure, which occurs over time as the interface between layers is repeatedly placed under stress. The technique may also be used to study adhesion between layers in photovoltaic systems and in MEMS devices.

The Georgia Tech researchers used standard microelectronic fabrication techniques to grow layers of thin films that they want to evaluate on a silicon wafer. At the center of each sample, they bonded a tiny permanent magnet made of nickel-plated neodymium (NdFeB), connected to three ribbons of thin-film copper grown atop silicon dioxide on a silicon wafer.

The sample was then placed into a test station comprising an electromagnet below the sample and an optical profiler above. Voltage supplied to the electromagnet was increased over time, creating a repulsive force between the like magnetic poles. Pulled upward by the repulsive force on the permanent magnet, the copper ribbons stretched until they finally delaminated.

With data from the optical profiler and knowledge of the magnetic field strength, the researchers can provide an accurate measure of the force required to delaminate the sample. The magnetic actuation has the advantage of providing easily controlled force consistently perpendicular to the silicon wafer.

Many samples can be made at the same time on the same wafer, generating a quantity of adhesion data in a timely fashion.

To study fatigue failure — a common failure mode wherein delamination occurs over time with repeated heating and cooling cycles, Sitaraman and Ostrowicki plan to cycle the electromagnet’s voltage on and off. “A lot of times, layers do not delaminate in one shot,” Sitaraman said. “We can test the interface over hundreds or thousands of cycles to see how long it will take to delaminate and for that delamination damage to grow.”

The test station fits into an environmental chamber, allowing the researchers to evaluate harsh-environment electronics under the effects of high temperature and/or high humidity. “We can see how the adhesion strength changes or the interfacial fracture toughness varies with temperature and humidity for a wide range of materials,” Sitaraman explained.

Sitaraman and Ostrowicki have studied thin film layers about one micron in thickness, but say their technique will work on layers that are of sub-micron thickness. Because their test layers are made using standard microelectronic fabrication techniques in Georgia Tech’s clean rooms, Sitaraman believes they accurately represent the conditions of real devices. These are representative processes and representative materials, mimicking the processing conditions and techniques used in actual microelectronics fabrication.

“As we continue to scale down the transistor sizes in microelectronics, the layers will get thinner and thinner,” he said. “Getting to the nitty-gritty detail of adhesion strength for these layers is where the challenge is. This technique opens up new avenues.”

The research has been supported by the National Science Foundation, and was reported in the March 30, 2012 issue of the journal Thin Solid Films.

Learn more about Georgia Institute of Technology at http://www.gatech.edu/.

Visit the MEMS Channel of Solid State Technology, and subscribe to our MEMS Direct e-newsletter!

April 13, 2012 — Barclays Capital and FBR Capital Markets share their takes on earnings season for public semiconductor companies. Both predict a cycle of inventory replenishment in the semiconductor supply chain that will bode well for chip makers in 2012.

Also read: Top chip companies: 2011 rankings

Barclays Capital foresees “a gradual recovery combined with nearly full valuations” and “an inventory replenishment led uplift that would drive shares higher into 2H12.” Q1 2012 likely was the cyclical trough for many (though some semiconductor companies troughed in 4Q11), indicates FBR Capital Markets.

Q2 earnings guidance at most chip companies will be in-line with earlier predictions, Barclays anticipates. The most important takeaway is that semiconductors reached the bottom of a cycle, with very lean inventories in the supply chain to end Q1. Lead times may broadly expand in H2, driving some supply chain inventory replenishment and the start of a new up-cycle for chip firms, agree FBR Capital Markets analysts. Semiconductor growth should track above end markets, therefore, heading into H2 2012.

Semiconductor companies with exposure to smartphone and tablet designs — including the iPhone 5 — will get a boost this year. Handsets in general will see strong shipments with sales into emerging markets boosting numbers. Q2 will also benefit PC-component suppliers, thanks to easing HDD shortages and lean channel inventory ahead of Intel’s Ivy Bridge launch. Lagging, but not declining, end-use sectors include communications infrastructure, which had a slow start in 2012. The industrial sector is recovering and wireless communications semiconductors will see “choppiness” in the end market.

The chip sector is setting up for an H2 cyclical snapback that should be stronger and longer than originally predicted, said FBR Capital Markets, if macro conditions continue to slowly improve.

April 12, 2012 — Active matrix organic light-emitting diodes (AMOLEDs) are moving from small-quantity development into higher volumes, with shipments destined for smartphones driving growth in the small- and medium-sized display (SMD) market in February, said IHS. SMDs are displays smaller than 10” diagonally.

Total SMD shipments hit 186.8 million units in February, up 9% from January, serving mobile handset, tablet and digital still camera makers, according to an IHS iSuppli Small & Medium Displays PriceTrak report. The increase brought to an end 4 straight months of shipment declines that started in October 2011 (see the figure).

Figure. Worldwide small/medium displays forecast by millions of units shipped. SOURCE: IHS iSuppli Research, April 2012.

AMOLED displays are gaining market share, and increased orders are coming in from Chinese electronics vendors. Worldwide shipments of AMOLED mobile handset displays are expected to increase sequentially by 14% in Q1 2012 and by 80% compared to the same time a year ago.

AMOLED displays are lighter weight and offer more saturated colors than traditional LCD panels. Samsung Electronics, Nokia Corp., and HTC Corp. have adopted AMOLED displays for new mobile handsets. AMOLED displays will gain more share as tablet PCs switch to the technology, likely this year.

“Display manufacturers are increasing their AMOLED manufacturing,” said Vinita Jakhanwal, director for small & medium displays at IHS, adding that “AMOLED is coming of age in 2012.

Samsung Mobile Display Co. currently drives the AMOLED market, with the largest manufacturing capacity. Also read: AMOLED display gives Samsung Galaxy Tab 7.7 the edge

LG Display Co. recently redirected its AMOLED focus away from mobile handsets toward the TV market, but it is still Samsung Mobile Display’s largest competitor.

Taiwanese and Chinese display suppliers are working to improve their competitive positioning, looking to challenge these leaders in AMOLED production and win orders from top handset makers. AU Optronics Corp. (Taiwan) has likely orders from handset manufacturer HTC and Sony, and should start shipping small volumes of AMOLED displays in Q2. AUO is reportedly planning for AMOLED production at a Gen 6 fab. ChiMei Innolux Corp. (Taiwan) should start AMOLED panel production in 2012.

In mobile phones, AMOLED prices increased 0.9 percent to an average of $54 in February, due to the introduction of new, higher-specification AMOLED displays. AMOLED commands price premium of 15 to 20% over comparable low-temperature polysilicon (LTPS) LCD displays with touch capabilities. A 4.3” QHD “Super” AMOLED display for mobile phones with an integrated on-cell touch solution, boasting QHD resolution at 960 by 540 pixels, was priced at $51 in January. A comparable LTPS LCD plus projected capacitive touch solution had a total price of $42. Prices could come down if AMOLED supply increased, although its performance advantages will help prevent price erosion.

In tablets, total average panel prices retreated 0.4% to $48.87, down from the January average of $49.06. AMOLED tablet displays, meanwhile, stayed at about $100. LG and Samsung remain the pre-eminent suppliers for the tablet PC display market, with high capacity and reliable shipments to Apple for its iPad, to Amazon for its Kindle, and to Samsung Electronics for its Galaxy Tab.

Learn more in Increased AMOLED Display Demand Preserves Premium Pricing from IHS iSuppli.

Visit our new Displays Manufacturing Channel on Solid State Technology and subscribe to our Displays Digest e-newsletter!

April 11, 2012 — Intel Corporation (NASDAQ:INTC) announced its 2011 Intel Preferred Quality Supplier (PQS) awards, selecting 19 of its thousands of suppliers. Two suppliers received Intel’s Achievement Award for their extraordinary accomplishments in the areas of velocity, customer orientation and reduced cycle time supporting Intel’s semiconductor fab, packaging, and related activities. Intel announced 9 winners of the company’s Supplier Continuous Quality Improvement (SCQI) award for outstanding commitment to quality and performance excellence.

“2011 was a year that tested all aspects of the supply chain from core challenges of business continuity and affordability to the need for continuous innovation, velocity improvements and growing expectations of sustainability,” said Jaclyn A. Sturm, vice president, Technology and Manufacturing Group and general manager of Global Sourcing and Procurement. 

Also read: Intel, Samsung, TSMC semiconductor capex in 2012

Preferred Quality Suppliers: 

  • Advantest Corporation supplies testers, test handlers, and test interface products.
  • Applied Materials, Inc. supplies semiconductor manufacturing equipment, software and support services.
  • ASML supplies semiconductor lithography equipment.
  • Cabot Microelectronic Corporation supplies chemical mechanical polishing slurries.
  • Daewon Semiconductor Packaging Industrial Co., Ltd. supplies plastic injected molded trays.
  • DISCO Corporation supplies cutting, grinding, and polishing equipment and services.
  • DuPont AirProducts NanoMaterials L.L.C. supplies chemical mechanical planarization slurries.
  • Ebara Corporation supplies electroplaters, chemical mechanical polishers and pumps and abatement systems.
  • FUJIFILM Electronic Materials supplies formulated chemicals, developers, precursors, slurries and advanced photoresists.
  • KLA-Tencor Corporation supplies inspection and metrology capital equipment and services.
  • Marvell Semiconductor supplies application-specific integrated circuit semiconductor products and engineering resources.
  • Mitsubishi Gas Chemical Company, Inc. supplies chemicals for semiconductor device manufacturing.
  • Murata Manufacturing Co., Ltd. supplies multi-layer ceramic capacitors.
  • Rofin-Baasel supplies laser mark equipment.
  • Samsung Electro-Mechanics Co., Ltd. supplies flip chip substrates.
  • Siliconware Precision Industries Co., Ltd. supplies semiconductor assembly and test services.
  • STATS ChipPAC Ltd. supplies full turnkey packaging and test services.
  • TAIYO YUDEN CO., LTD. supplies ceramic capacitors, inductors, and filters.
  • Tosoh Quartz Inc. supplies quartzware for semiconductor wafer processing equipment.

Achievement winners:

  • Nikon Corporation awarded for velocity.
  • Powertech Technology Inc. awarded for customer orientation & cycle time reduction.

"This year’s Intel Preferred Quality Supplier award winners have truly distinguished themselves by driving and executing to world-class supply chain performance in execution, innovation and quality," said Sturm. "Intel’s 2011 have excelled across an extremely demanding set of expectations, and have distinguished themselves as industry leaders in technology innovation, supply chain excellence, and corporate responsibility," added Robert Bruck, corporate vice president and general manager of Technology Manufacturing Engineering. 

The PQS award is part of Intel’s Supplier Continuous Quality Improvement (SCQI) program that encourages suppliers to innovate and continually improve. To qualify for PQS status, suppliers must score at least 80% on a report card that assesses performance and ability to meet cost, quality, availability, delivery, technology and environmental, social and governance goals. Suppliers must also achieve 80% or greater on a challenging improvement plan and demonstrate solid quality and business systems. Additional information about the SCQI program is available at www.intel.com/go/quality.

Intel (NASDAQ:INTC) is a world leader in computing innovation. The company designs and builds the essential technologies that serve as the foundation for the world’s computing devices. Learn more at www.intel.com.

Check out Analog Devices Inc.’s (ADI) top suppliers of 2011 here.

Visit the Semiconductors Channel of Solid State Technology!

April 11, 2012 — Georgia Institute of Technology (Georgia Tech) Packaging Research Center (GT-PRC) proposes a new consortium on 3D semiconductor packaging called 3D ThinPack (THInPack) for ultra-miniaturized 3D heterogeneous, RF, digital and power modules in partnership with global companies.

The goal is ultra-miniaturized heterogeneous sub-systems created using 3D integration of multiple ultra-slim packages with embedded thin active or passive components. Within 2 years, the consortium will demonstrate a 4-package stack within ~1mm thickness.

GT-PRC has been developing ultra-miniaturized embedded MEMS, actives, and passives (EMAP) technology through a global industry consortium of about 15 semiconductor, package and supply-chain companies with chip-last (CL) interconnections but with chip-first benefits to demonstrate ultra-miniaturized modules with digital, RF, analog, MEMS and sensor functions. GT-PRC has demonstrated ultra-thin organic substrates, fine-pitch copper-to-copper (Cu-Cu) interconnections, low-temperature bonding with high assembly throughput and prototype functional module demonstration of digital Si and RF GaAs die embedding.

Building on these advances, GT-PRC

April 9, 2012 — Barclays Capital’s CJ Muse looks at the underlying trends in semiconductor wafer fab equipment (WFE) in 2011, following Gartner Inc’s data release. Top 5 takeaways? The top 5 WFE vendors continue to gain market share, Intel is a key customer with a big capex increase, the leading equipment vendors strengthened their hold on their respective WFE sub-segments, WFE intensity continues to edge higher, and expect several changes in the landscape in 2012 and beyond.

1. Similar to semiconductor chip maker consolidation, the semiconductor fab equipment supplier sector is undergoing secular consolidation. The top 2 suppliers in each segment are gobbling up market share and synergistic acquisitions. Historical data clearly shows the top 5 front end equipment makers taking a larger percentage of the overall WFE pie. For 2011 in particular, the top 5 vendors — ASML, Applied Materials (AMAT), Tokyo Electron (TEL), KLA Tencor (KLAC), and Lam Research (LRCX) — controlled ~60% of WFE. Looking to 2012, with Varian (VSEA) now embedded in AMAT and the LRCX/Novellus (NVLS) merger likely to be completed, consolidation should continue. The top 5 share gainers among equipment companies with sales exceeding $475M included ASML, KLAC, Hitachi High, TEL, and ASMI. Look for more pricing and R&D investment control in the face of pressures from the consolidating chip maker base, in addition to gaining more leverage from their individual 450mm investments.

2. Intel’s capital expenditures (capex) are up 107% from 2010, compared to the industry as a whole’s capex growth of 25%. Intel accounts for ~18% of the semiconductor industry’s spending. This made Intel an important customer for companies like ASML and KLAC, along with ASMI and Hitachi High. On the flip side, Lam’s lack of exposure to Intel’s etch spending drove a decrease in share for LRCX.

3. By and large, the 2011 market share data illustrated continued gains by the top equipment vendors in each key segment.

  • ASML gained 1 percentage point of lithography market share (81%), though its unit share declined from 74% in 2010 to ~61% in 2011, reflecting customers’ strategy to purchase their critical layer/leading edge tools from ASML and allocate the lagging-edge portion of their business to Nikon/Canon. ASML could achieve an 80% revenue market share in 2012 especially as Nikon trails with its S620 immersion tool and is behind on EUV development.
  • KLAC reached a historical process control market share high — rising 3% — enabling a 55% overall market share in 2011. AMAT saw its share roughly flat at 12% while Hitachi and Rudolph each saw a 1% decline in the overall process control market share. 
  • Varian, now a subsidiary of AMAT, maintained strong market share leadership in ion implant at 72% market share.

However, smaller vendors leveraged to Intel (whose capex grew from ~11% of the capex in 2010 to ~18% in 2011) experienced outsized gains in specific sub-segments, including etch, CVD, and semi test.  The top 3 CVD vendors lost incremental share in 2011 (their total share declined from 83% in 2010 to ~76% in 2011), with Hitachi and ASMI picking up points at their expense likely as a result of their leverage to Intel. LRCX and AMAT lost overall market share in etch (LRCX went from 47% in 2010 to 43% in 2011, with AMAT going from 20% to 12%), with TEL picking up 7% and Hitachi picking up 6%, again likely due to the mixshift to Intel. Lam’s single-wafer cleaning tool share dropped slightly to 19% in 2011 from 21% last year, with incremental growth at TEL. Though the market is small, in 2011 Lam achieved 100% market share in the bevel clean market, up from 62% the prior year. Teradyne’s share of the semi test market declined from 43% in 2010 to 36% in 2011, while Advantest/Verigy’s share grew from 42% to 49%, again as a result of Intel’s heavy spending on SOC test during the year. Intel is expected to return to normal spending on test in 2012.

4. While the latest Gartner data sizes the 2011 WFE market (excluding MOCVD equipment for the LED space) at ~$35B, Barclays believes that some irregularities and omissions in reporting are skewing the number higher, with the actual 2011 market at ~$31.5B. Taking this number with actual 2011 SIA semiconductor revenue data, it appears that WFE intensity (WFE as a % of semiconductor industry revenues) inched up again from ~9.7% in 2010 to ~10.5% in 2011. And, if the Gartner data is correct, intensity increased even more.

5. Changes will come in 2012.

  • Teradyne entered the wireless device test in 2011 via the LitePoint acquisition, and should see strong market share in 2012 with leverage to top share winning customers include Samsung, Apple, and Broadcom. While Advantest/Verigy benefitted enormously from ~$400M in test spend from Intel in 2011, look for Teradyne to recapture share lost in 2012 and beyond, led by gains in mobility and Intel’s normalized spending. Layer in Advantest attempting to convert its key customers from Verigy’s 93K to its T200 platform and Barclays predicts further share gains for Teradyne in the coming years. TER’s SOC test market share will expand to ~47.5% in 2012 and then to 55-60% over the next 5 years. 
  • While ASML is the undisputed leader in EUV lithography, some have raised concerns about Nikon’s ability to catch up to ASML in immersion with its new 621 tool. Intel is demonstrating two tools today from Nikon and the 14nm decision has not been made. ASML will likely continue to dominate at Intel, particularly as Intel looks to adopt double patterning and quadruple patterning at the 14nm node and below. Moreover, while ASML continues to invest in EUV, the company is also spending considerably on sustaining its competitive advantage in the ArF arena, both wet (immersion) and dry. ASML will maintain its current dominance in the immersion segment.
  • LRCX could penetrate Intel on the etch side. While Hitachi remains highly confident that they will maintain share here, anticipation of the 450mm transition on Intel’s part and the technical know-how of LRCX at 14nm and below should allow LRCX to make inroads.
  • With Novellus’s market share in PVD inching lower from 6.2% in 2009 to ~5.5% in 2010 and ~4.5% in 2011, Barclays expects a combined LRCX/NVLS entity to exit the PVD business.
  • Finally, KLAC’s superior product line-up should allow it at least to maintain its leading share in process control, if not increase it.

ADI names top suppliers


April 9, 2012

April 6, 2012 – BUSINESS WIRE — Signal processing semiconductor maker Analog Devices Inc. (NASDAQ:ADI) honored 14 companies with the 11th Annual “Supplier Excellence Awards,” selected from among the more than 2,000 companies that contribute to ADI’s global semiconductor manufacturing operations.

Contracted Production:

  • Test Solution Services, Inc.
  • Amkor Technology
  • Taiwan Semiconductor Manufacturing Company Ltd. (TSMC)

Critical Indirect Materials:

  • Multi-lab Quartztec
  • Teradyne Philippines Ltd.,

Equipment Support:

  • Nikon Precision
  • Teradyne Inc.

Local Site Support:

  • MK Slider Philippines Corporation
  • Materion Advanced Materials Technologies & Services

Raw Materials:

  • MEMC Electronic Materials Inc.
  • Korea Shinko Microelectronics Co. Ltd.

Special Achievement:

  • Dainippon Screen
  • MEI, LLC
  • Photronics Inc.

ADI “Supplier Excellence Award” winners demonstrate an exceptional commitment to manufacturing excellence and leadership, and the on-time delivery of goods and services. These suppliers must also maintain high quality and reliability standards, deliver cost-reduction initiatives, and display a dedication to technology advancement and continuous improvement.

“Analog Devices recorded $3 billion in revenue last fiscal year — a new company high. These 14 suppliers stand out among the many suppliers that help us maintain high quality and reliability while keeping 99 percent of our products available within 4 weeks and 100 percent of our products available in 6 weeks or less,” said Vince Simonelli, director of global purchasing for Analog Devices Inc.

Analog Devices Inc. (ADI) makes data conversion and signal conditioning technologies. Analog Devices is included in the S&P 500 Index. http://www.analog.com.

Visit the Semiconductors Channel of Solid State Technology!

 

April 5, 2012 — MOSAID Technologies Inc. is sampling a 16-die stack NAND Flash device operating on a single high-performance channel, the 5126Gb HLNAND. It comes in an 18 x 14mm, 100-ball BGA package.

The 16 industry-standard 32Gb NAND Flash die are stacked with two HLNAND interface devices, outputting 333MB/s (DDR333) at 1.8V output over 1 byte-wide HLNAND interface channel.

HLNAND is fabricated with a ring architecture, which avoids performance degradation in large chip stacks, compared to parallel bus architectures, said Jin-Ki Kim, VP of R&D, MOSAID. The ring architecture allows virtually unlimited NAND die to be connected on a single channel. It does not require termination resistors, lowering the device