Category Archives: Top Story Right

A team of researchers at the University of New South Wales, Purdue University and the University of Melbourne have built the smallest transistor ever using a single phosphorous atom.

The single-atom device was described in a paper in the journal Nature Nanotechnology (published Feb. 19).

Michelle Simmons, group leader and director of the ARC Centre for Quantum Computation and Communication at the University of New South Wales, says the development is less about improving current technology than building future tech.

"This is a beautiful demonstration of controlling matter at the atomic scale to make a real device," Simmons says. "Fifty years ago when the first transistor was developed, no one could have predicted the role that computers would play in our society today. As we transition to atomic-scale devices, we are now entering a new paradigm where quantum mechanics promises a similar technological disruption. It is the promise of this future technology that makes this present development so exciting."

Caption: A controllable transistor engineered from a single phosphorus atom has been developed by researchers at the University of New South Wales, Purdue University and the University of Melbourne. The atom, shown here in the center of an image from a computer model, sits in a channel in a silicon crystal. The atomic-sized transistor and wires might allow researchers to control gated qubits of information in future quantum computers (Purdue University image).

The same research team announced in January that it had developed a wire of phosphorus and silicon – just one atom tall and four atoms wide – that behaved like copper wire.

Simulations of the atomic transistor to model its behavior were conducted at Purdue using nanoHUB technology, an online community resource site for researchers in computational nanotechnology.

Gerhard Klimeck, who directed the Purdue group that ran the simulations, says this is an important development because it shows how small electronic components can be engineered.

"To me, this is the physical limit of Moore’s Law," Klimeck says. "We can’t make it smaller than this."

Although definitions can vary, simply stated Moore’s Law holds that the number of transistors that can be placed on a processor will double approximately every 18 months. The latest Intel chip, the "Sandy Bridge," uses a manufacturing process to place 2.3 billion transistors 32 nanometers apart. A single phosphorus atom, by comparison, is just 0.1 nanometers across, which would significantly reduce the size of processors made using this technique, although it may be many years before single-atom processors actually are manufactured.

The single-atom transistor does have one serious limitation: It must be kept very cold, at least as cold as liquid nitrogen, or minus 391 degrees Fahrenheit (minus 196 Celsius). "The atom sits in a well or channel, and for it to operate as a transistor the electrons must stay in that channel," Klimeck says. "At higher temperatures, the electrons move more and go outside of the channel. For this atom to act like a metal you have to contain the electrons to the channel.

"If someone develops a technique to contain the electrons, this technique could be used to build a computer that would work at room temperature. But this is a fundamental question for this technology."

Although single atoms serving as transistors have been observed before, this is the first time a single-atom transistor has been controllably engineered with atomic precision. The structure even has markers that allow researchers to attach contacts and apply a voltage, says Martin Fuechsle, a researcher at the University of New South Wales and lead author on the journal paper.

"The thing that is unique about what we have done is that we have, with atomic precision, positioned this individual atom within our device," Fuechsle says.

Simmons says this control is the key step in making a single-atom device. "By achieving the placement of a single atom, we have, at the same time, developed a technique that will allow us to be able to place several of these single-atom devices towards the goal of a developing a scalable system." 

The single-atom transistor could lead the way to building a quantum computer that works by controlling the electrons and thereby the quantum information, or qubits. Some scientists, however, have doubts that such a device can ever be built.

"Whilst this result is a major milestone in scalable silicon quantum computing, it does not answer the question of whether quantum computing is possible or not," Simmons says. "The answer to this lies in whether quantum coherence can be controlled over large numbers of qubits. The technique we have developed is potentially scalable, using the same materials as the silicon industry, but more time is needed to realize this goal."

Klimeck says despite the hurdles, the single-atom transistor is an important development. "This opens eyes because it is a device that behaves like metal in silicon. This will lead to many more discoveries," he said.

"When I established this program 10 years ago, many people thought it was impossible with too many technical hurdles. However, on reading into the literature I could not see any practical reason why it would not be possible," Simmons says. "Brute determination and systemic studies were necessary – as well as having many outstanding students and postdoctoral researchers who have worked on the project."

Klimeck notes that modern collaboration and community-building tools such as nanoHUB played an important role. "This was a trans-Pacific collaboration that came about through the community created in nanoHUB. Now Purdue graduate students spend time studying at the University of New South Wales, and their students travel to Purdue to learn more about nanotechnology. It has been a rewarding collaboration, both for the scientific discoveries and for the personal relationships that were formed."

SPIE 2012: The Spring of EUVL


February 20, 2012

Dr. Vivek Bakshi, President of EUV Litho, Inc., reports on the SPIE Advanced Lithography conference.

The SPIE Advanced Lithography EUVL Conference is usually held close to spring, but was pulled into week of Valentine’s Day this year. Fittingly, 2012 may be the year of EUVL’s early spring. This year even the loudest criticism of EUVL was not about “if” but “when,” and the predicted range of insertion for EUVL in high volume manufacturing (HVM) is now 2013-15. (Samsung wants to start using EUVL in 2013 and Intel is planning to use EUVL its fabs in 2015). Discussions are now more focused in the product development area.

Status of EUVL

The EUVL conference started with an invited talk from IMEC, which presented process development data from ASML’s NXE3100 beta level EUVL scanner. The scanner’s throughput is now 5 wafers per hour (WPH) for ~7 W of power. They are patterning at 16 nm half-pitch (HP) using dipole illumination, and can get 5 nm machine-to-machine overlay in order to mix and match with another ASML 193 immersion (193i) scanner.

Scanner Development

ASML now has six NXE3100 scanners operating in the field, five of them in process development and one in qualification. Line and space (LS) of 16 has been achieved with a 33 mJ resist. These scanners currently add 0.05 particles per reticle pass (or 1 particle in 20 passes). This recent 20x improvement is critical, since an EUVL mask does not have a pellicle.

ASML plans to ship the HVM version of their NXE3300B scanner in the second half of 2012. For this tool, numerical aperture (NA) will go to 0.33 from 0.25, with 4% flare optics. This tool has specs of > 69 WPH, and I am sure source availability will drive this number. ASML also presented their EUVL roadmap to 10 nm HP and below, utilizing double patterning (DP) or a switch to 6.8 nm.

Source Progress

EUV source remains the key driver of scanner throughput and EUVL’s introduction into HVM. Current power reported for NXE3100 in the field is 7W for Xtreme and 10W for Cymer. Gigaphoton has a 7W source in their lab and plans to ship a higher-power source later this year for integration. Xtreme, which has shipped a 20W source for NXE3100B and is working on 50W-100 W sources, described in detail their new electrode design for high-power sources.

Cymer is working to upgrade its current sources in NXE3100 to 20W and plans to have 50W sources in Q3 this year. The 20W upgrade is based on a double pulse approach, which has been proven effective for many years. So this upgrade should be successful, although perfectly aligning two lasers, both pointing at 30 microns droplets moving at the speed of 50 k Hz with 100% accuracy is no small task.

Gigaphoton plans to ship 50 W sources in Q4 this year. They presented 4% conversion efficiency (CE), the highest so far for laser-produced plasma (LPP), and progress on their debris mitigation design. I am looking forward to their sources in the field this year.

In terms of delay in source technology development, the main issues for LPP seems to be debris mitigation and droplet stability, plus engineering to ensure 24 x 7 operation. For discharged-produced plasma (DPP), the issue is thermal mitigation.

Two years ago, ASML introduced “exposure power” as a new way to describe source power. The term is based on the concept that due to plasma stability and losses from spectral purity filter (SPF) use, only half of EUVL source power at intermediate focus (IF) reaches its target. In other words, 100W of source power at IF produces only 50W of usable “exposure power.” I believe that as sources make progress, the gap between IF and exposure power will get smaller. However, the difference was not made clear in presentations, leaving us to guess whether the 20W or 50W that suppliers plan to have in the second half of 2012 is exposure power or IF power.

EUVL and the Art of Auto Repair: EUVL Success Stories

Last year my friend Bob tried to fix a small issue with my Suburban, the auto with the now famous “EUVL” license plate. Bob is always working on cars and expected this repair to be a piece of cake. But after several tries he gave up, saying: “Nowadays cars are too complex, now you need a computer to fix anything.” If only it had been an older model, he would have been able to help.

Not too long ago, the EUVL alpha scanner was criticized by an expert as looking “like you rolled an electromagnet through an automotive junkyard,” while others called it a “science experiment.” Now that early prototype has evolved into a smooth machine, although still a slow one. Stepping away for a minute from the issue of low throughput due to source power, I do not hear any complaints about the scanner, which is a very complex machine in itself. Lithography in vacuum seemed impossible to many not too long ago. This is an incredible machine: a scanner that was never supposed to work at all now performs so well that the tool itself is apparently not an issue.

Unfortunately, I did not hear any praise of ASML (who integrate sources but do not build them) for all that they have achieved so far. Maybe that’s because this year’s SPIE AL seemed pretty tense. One of my colleagues, who has been coming to these meetings for years, said he did not hear anybody laughing or joking over the entire three days. Instead, people were walking around as if the world was going to end in 2012, per the Mayan calendar.

When I started in the EUVL business, sources were not yet the main challenge, but optics contamination, optics quality and masking without a pellicle were said to be limitations that would doom EUVL. Now these former threats have been tamed. Yes, the technology is lot more complex, but increasing complexity is part of both life and a next-generation, better machine.

Comparing Apples to Oranges: Looking Beyond Throughput to System COO

EUVL has attracted a fair bit of criticism, and I believe that comes from its being the front runner of NGL technologies. One of most frequent criticisms of EUVL is throughput. While 193i scanners can process 200 wafers per hour, EUVL is at 5 WPH and is expected to climb to just 40+ this year. So how can EUVL ever compete with immersion Lithography?

The answer lies in the simple fact that we need to consider the throughput and cost of ownership (COO) of the entire lithography module, rather than just looking at the exposure tool. Double patterning has more than doubled the cost and processing steps, resulting in some tools with low throughput. A COO model accounts for this. And even ASML, which sells lots of 193i scanners, has said that it is much more cost-effective to go with EUVL. So the real question we should ask is, at what throughput will the COO of EUVL become the same or less than 193i? Although I have not seen an analysis of this question, I have heard that 40 WPH throughput will be a favorable turning point for EUVL, and at 60 WPH most chip-makers will adopt it in HVM. We are not at these throughputs yet, but how far along are we really? Answers will depend on our assessment of rate of progress of EUV Sources.

Critical Issues: Do We Know How to Make HVM EUV Sources?

The most positive aspect of EUV source status is supplier commitment. Gigaphoton and Cymer, who also supply light sources for 193 nm lithography, are investing heavily in EUV source development. Ushio, another light source supplier, is also very committed and investing in the source business. However, to move to next generation sources, we may need innovation and new technology in addition to supplier commitment.

At the November 2011 Source Workshop, EUV experts gathered to discuss how we can get to higher power levels for EUV sources. It was time for honest introspection. Konstantin Koshelev, whose lab built original prototypes for Sn DPP, delivered a plenary talk entitled, “Do We Know How to Make HVM EUV Sources?”

The current design of DPP introduces high thermal load on electrodes, and droplet-based tin delivery systems introduce debris and stability issues which create great engineering challenges. Koshelev’s proposals for new DPP and LPP design, along with many other ideas presented in the workshop, need careful consideration and support for further exploration. Based on current publications, I see only Gigaphoton actively involved in R&D to continue looking for new ideas for their next generation sources.

How Do We Address the Critical Source Power Gap to Bring EUVL to HVM as Soon as Possible?

EUVL has attracted attention as it is a multi-node technology, but it will need more and more power at coming nodes. I can get behind 100W or even plans to get 150W. However, I cannot see how the 250W or 350W levels on EUVL roadmaps can be achieved without new technical approaches.

Leading consortia have left source development to the suppliers, which are great engineering firms but not necessarily places for developing new technology. Even after years of delay, there is no change in policy to address this critical gap. Lots of money gets spent every year to help suppliers make progress on various EUVL-related issues, but almost nothing goes to develop EUV sources which are #1 issue for EUVL.

This is surely insanity. You will not hear a supplier say, “I do not have the technology for next generation sources and need help," but many will claim, "I have the technology and just need more money and time.” How can a supplier do otherwise, when their competitor is promising "lots of power tomorrow with proven technology?"

Our industry needs to step up and say, “Enough of this. Let’s generate more technical solutions and make them available to the source suppliers so they can increase source power.” With a steady stream of funded innovation flowing from Universities and National Labs, I am confident existing suppliers will be able to take care of source power needs.

Here are my ideas on what needs to be done to increase and sustain EUVL technology development:

(1) Create a consortium that focuses on development of next generation EUV source technology. We need innovative solutions to address debris, thermal management, low conversion efficiency, out-of-band (OOB) radiation, and plasma stability to take us to 500W source designs. I believe that a $10M annual budget for three to five years is needed for such studies. We can have Small Business Innovation Research (SBIR)-type programs where solutions that meet technical criteria move on the next stage to receive additional funding from the consortium and industry. Which existing consortium can take on this challenge?

(2) Although EUV double patterning combined with optical proximity correction (OPC) is suggested for taking EUVL scanners to the end of the Roadmap, the power required is too high at 13.5 nm but can be reduced by changing the wavelength to 6.7 nm. Work has already started on 6.7 nm optics, sources and resists (see workshop proceedings at www.euvlitho.com), but we need to put a lot more emphasis on this wavelength switch and be ready to provide solutions that can produce commercial products.

(3) Perhaps most important is that Nikon has slowed down its EUVL development work. They, like ASML, developed two alpha level scanners but chose Xe DPP sources over ASML’ choice of Sn DPP sources. Xenon plasma source size is greater than a tin plasma source; hence, Nikon tools hit power limits sooner than ASML’s alpha demo tool (ADT).

 

Currently, Nikon has programs in optics and contamination, but they are not developing an EUVL scanner. They are very capable of doing so, but will not be able to catch up with ASML if they wait any longer. I have been known to say that you do not get a second chance in this business. Is it good for the computer chip industry to have only one supplier for its most critical tool? As this question directly relates to corporate bottom lines, chip-makers should be concerned about answering it.

 

Lotus Bet Update

During the conference, a couple of colleagues congratulated me after they incorrectly assumed that I already have the Lotus keys from my wager with Litho Guru Chris Mack. (Chris took the dubious side of a bet that there would be no papers presented on EUVL in 2011, or that EUVL would not be in HVM by 2013-14). There appeared to be no shortage of EUVL papers in this year’s conferences, and audiences spilled over from EUVL sessions until they were moved to larger rooms. I am still confident that EUVL will be in HVM soon. By the way, the Litho Guru was praised highly by at least one supplier for his contributions to the development of EUV resists, and for his help in reducing line edge roughness (LER), a critical challenge of EUVL.

As a leading litho expert, I am confident that Chris and many others in the 193nm litho community can continue doing much to help EUVL, especially in the areas of LER and EUVL resist development. I look forward to our becoming “one big, happy family” of litho experts as we work together on the latest optical lithography that will take us to the end of the Roadmap.

 

February 17, 2012 — Wright Williams & Kelly, Inc. (WWK), a cost & productivity management software and consulting services company, opened its 2012 semiconductor industry survey on equipment and process timing. Only participants will receive the full results, free of charge. Download the survey form at http://www.wwk.com/2012survey.pdf.  
 
The 2011 survey found double patterning lithography, through silicon vias (TSVs) and TSVs with copper fill, and high-k metal gate (HKMG) with HfO2 and ZrO2 likely technologies to be implemented on semiconductor fab lines in 2012.

Other expectations from the semiconductor industry included remote tool diagnostic capability from their equipment suppliers; manufacturing capacity, utilization and cycle time simulation; and implementation of 300mm prime advances.
 
Respondents did not expect to see 193 high-index immersion, direct-write, extreme ultra-violet (EUV), or imprint lithography in production until 2015 or later.

Take the 2012 survey: http://www.wwk.com/2012survey.pdf
 
Wright Williams & Kelly Inc. is an operational cost management software and consulting company serving technology-dependent and technology-driven organizations. WWK maintains long-term relationships with prominent industry resources including SEMATECH, SELETE, Semiconductor Equipment and Materials International (SEMI), and national labs and universities.  Its client base includes nearly all of the top 20 semiconductor manufacturers and equipment and materials suppliers as well as leaders in nanotechnology, micro-electro-mechanical systems (MEMS), thin film record heads, magnetic media, flat panel displays (FPD), solid state lighting/light emitting diodes (SSL/LED), and photovoltaics (PV).

Subscribe to Solid State Technology

February 16, 2012 — Light emitting diode (LED) supply exceeded demand by 30% in 2011, thanks to poor LED TV sales and slow growth in LED lighting. Recovering backlight demand and a new uptake in LED lighting are closing this gap in 2012, shows a recent NPD DisplaySearch Quarterly LED Supply/Demand Market Forecast Report, putting the LED supply glut at 19% in Q1 2012, dropping 3 percentage points by the next quarter.

After a buying spree for metal organic chemical vapor deposition (MOCVD) tools in 2010, major LED makers like Samsung LED, LGIT and Lextar found underwhelming end-market demand. This caused tool utilization rates to drop throughout 2011, hitting about 50% now. Also read: LEDs and a MOCVD bubble: We’ve only just begun

Figure. LED supply and demand, backlighting and lighting applications. Source: NPD DisplaySearch Quarterly LED Supply/Demand Market Forecast Report.

Low-cost direct-LED TV backlights should trigger renewed demand for LEDs in TVs, thanks to the lower price. CCFL-backlit LCD TVs and low-cost direct LED-backlit TVs differ in cost by only about 5% (for a 32" set). High growth is expected for low-cost direct LED TVs in 2012.

LED backlights are used in all small/medium LCD displays, and LED penetration in mobile PCs is nearly 100%. While penetration in LCD monitors and TVs continues to grow, the LED package count in these displays is decreasing.

LED lighting has been gradually growing, due to efficacy enhancements and cost reductions. Lumen per dollar costs are closing in on those of traditional light sources. Government incentives and market drivers, such as the electricity shortages following Japan’s March 2011 earthquake, can spike LED lighting sales. NPD DisplaySearch expects sales to rapidly increase in Japan in 2012. Other regions — China, the US, Korea — are aggressively promoting LED lighting.

The NPD DisplaySearch Quarterly LED Supply/Demand Market Forecast Report analyzes supply and demand on a quarterly basis for the entire LED industry. From chip prices to LED maker roadmaps, this report gives a clear outlook and reliable forecast of LED supply/demand, along with an analysis of the impacts on pricing. NPD DisplaySearch specializes in research and consultancy in the display supply chain, as well as the emerging photovoltaic/solar cell industries. For more information, go to http://www.displaysearch.com/.

Visit the new LEDs Manufacturing Channel on ElectroIQ.com!

February 15, 2012 — Microfluidics company Dolomite and genetic technology developer GigaGen are collaborating on a novel Droplet Merger Chip for massively parallel single cell genetic analysis. The 15 x 22.5mm glass microfluidic chip merges 2 droplet streams consistently and quickly.

The chip can be used for DNA amplification, biochemical analysis, single cell analysis and high-throughput experimentation, among other applications. It avoids high-voltage electronic instrumentation for droplet merger under electrostatic forces. The Droplet Merger Chip squeezes droplets together in a carefully designed merging chamber. Future versions could be disposable.

Figure. Droplet merging of two individual droplet streams in the new Droplet Merger Chip, Dolomite and GigaGen.

GigaGen Inc. filed a patent application describing the chip design and its applications in the field of genetic analysis of cells. As part of a license agreement with GigaGen Inc., Dolomite will be offering the technology starting in 2012 to research users in academia and commercial users in a range of application areas. Dolomite’s partnership with Sphere Fluidics opens up a range of available surfactants, noted Dr. Andrew Lovatt, CEO of Dolomite, to optimize droplet behavior and stability under various temperature and biological conditions.

Also read: Microfluidics: $4B in 2016, thanks to life sciences

Dolomite’s Microfluidic Application Centre helps turn microfluidic application concepts into commercial products. For further information on Dolomite, visit www.dolomite-microfluidics.com.

GigaGen provides technology to clinical researchers and physicians, unlocking personalized genetic data and guiding disease treatments from routine blood draws. GigaGen has developed a patent-pending core technology for high-throughput measurement of dozens of genetic loci in millions of single cells in parallel. The technology combines advanced microfluidics, next-generation sequencing, and bioinformatics to genetically analyze millions of single cells per hour. For further information, visit www.gigagen.com.

View recent issues of the MEMS Direct newsletter

February 15, 2012 — IC manufacturers closed 49 wafer fabs between 2009 and 2011, according to a recent IC Insights’ Global Wafer Capacity 2011-2012 report. Smaller wafer fabs (≤200mm) suffered the most closures, and Japan and North America led the way.

Since mid-2007, the IC industry has been paring down older capacity (i.e., ≤200mm wafers), and this trend began to take hold in 2009. Some of the less-cost-effective small wafer fabs were refurbished to run larger wafers, or to produce non-IC products. This trend away from smaller-diameter wafer fabs will continue in coming years, especially as companies transition to fab-lite or fabless business models.

Most of the 2009-2011 fab closures were 150mm wafer fabs (43%). Fab closures by wafer size: 21 for 150mm, 13 for 200mm, 7 for 125mm, 5 for 300mm, and 3 for 100mm (Figure 1). With Qimonda going out of business in early 2009, its 300mm wafer fabs became the first of their kind to cease commercial operations.

Figure 1. Wafer fab closures 2009-2011, by wafer size. SOURCE: IC Insights.

Regionally, according to the Global Wafer Capacity 2011-2012 report, Japan and North America each saw 17 wafer fabs close, followed by Europe with 12 and South Korea with 3 (Figure 2). One of the wafer fab closures in Japan was a 300mm IC fab operated by Sony, which is being retrofitted and will return to service to produce image sensors for the company. The Qimonda wafer fab in Sandston, Virginia, was the only 300mm wafer fab closed in North American (2009) during this time.

Also read: New installed wafer capacity leader: Taiwan

A total of three 100mm wafer fabs were closed in the three-year span. These included fabs owned by DALSA in Bromont, Ontario, Canada; ON Semiconductor in Piestany, Slovak Republic; and Diodes in Oldham, England.

Figure 2. Wafer fab closures 2009-2011, by region. SOURCE: IC Insights.

IC Insights’ Global Wafer Capacity 2011-12 report features 78 pages and 61 charts/tables of IC wafer capacity analyses and forecasts along with two addendums: a 72-page addendum of 300mm wafer manufacturer profiles; and a 24-page addendum of detailed IC fab specifications. View http://www.icinsights.com/services/global-wafer-capacity/ for more information.

Subscribe to Solid State Technology

February 14, 2012 — While the flat panel display (FPD) industry faces severe challenges, long-term supply demand models show a positive future, according to the NPD DisplaySearch Quarterly FPD Supply/Demand and Capital Spending Report.

Figure. Supply/demand balance in flat panel displays (000m2/quarter). Source: NPD DisplaySearch Quarterly FPD Supply/Demand and Capital Spending Report.

FPD capital expenditures (capex) are in decline, even when factoring in investment for organic light-emitting diode (OLED) capacity, Barclays warns, calling it a "substantial contraction in FPD capex spending." But the industry will begin to right itself, thanks to the "cyclical nature of market forces," said Charles Annis, VP of manufacturing research at NPD DisplaySearch, stating that 2012 and 2013’s capacity expansion delays will converge with increasing demand, pushing the industry upward in the long run.

Good news isn’t on the doorstep, however. The H2 2012 recovery in FPD may be "somewhat more muted" than originally expected, Barclays reports, with Samsung’s China fab investments delayed, and the magnitude of the OLED investment by the Taiwanese and Japanese panel makers still uncertain. FPD makers have lost money for the past 6 consecutive quarters, its longest down turn since the industry began. This caused an expansion freeze across nearly all liquid crystal display (LCD) TV fabs. The overall FPD equipment market will suffer a severe recession in 2012, falling 63% from 2011, DisplaySearch notes. Also read: Top 10 LCD manufacturing trends of 2012

On the demand size, lower prices are stimulating consumer spending, particularly for large (50”+) TVs, DisplaySearch reports. Prices for large-sized liquid crystal display (LCD) panels stabilized in December 2011, thanks to better-than expected sales and decreased production, according to an IHS iSuppli LCD PriceTrak report.

The net effect will be a tightening of the supply/demand balance, particularly in 2013, when the glut ratio is forecast to fall well below 10% for the first time since Q2 2010. This will set off another crystal cycle of improved panel pricing, higher profitability, and increased investment. Spending on new active matrix organic light-emitting diode (AMOLED) production lines in particular will drive the FPD rebound in 2013.

Another technology, indium gallium zinc oxide (IGZO) LCDs, entered mass production in late 2011. Initially, IGZO will focus on LCD tablet and ultrabook display markets, but will likely see wider use in super-high-resolution large LCD TVs and some AMOLEDs. IGZO will grow continuously in the future.

The NPD DisplaySearch Quarterly FPD Supply/Demand and Capital Spending Report features in-depth analysis and critical data and detailed interpretation of market and technical trends. NPD DisplaySearch is a global market research and consulting firm specializing in the display supply chain, as well as the emerging photovoltaic/solar cell industries. Access reports at http://www.displaysearch.com/.

Visit the new Displays Manufacturing Channel on ElectroIQ.com!

February 13, 2012 — After 5 straight months of steep declines, prices for large-sized liquid crystal display (LCD) panels stabilized in December 2011, thanks to better-than expected sales and decreased production, according to an IHS iSuppli LCD PriceTrak report.

Global pricing for average large-sized LCD panels decreased by just 0.1% in December. This slight reduction indicates market pricing is steadying out compared to the 0.5% drop incurred in November and the runaway 3 or 4% contractions regularly seen during most of H2 2011.

The last time that panel pricing came close to December’s minimal level of decrease occurred during a two-month span during May and June 2011, when pricing retreated by 0.2% per month.

Figure. Large-size LCD panel prices.

December’s panel price also showed the smallest month-to-month change in all of the prior 12 months, as shown in the figure.

The overall pricing for large-sized LCD panels reflects the average taken among the three major markets for the panels, i.e., for televisions, monitors and notebooks. Large-sized panels are defined as those having a diagonal dimension of 10.4 to 55" and above.

Also read: Rapid LCD TV shift to 40"+ display panels signals production capacity boost

“The firming in panel prices in December can be attributed to lean inventories throughout the supply chain and to lower factory utilization rates, after suppliers were forced to cut production in order to control supply and stem financial losses,” said Sweta Dash, senior director for LCDs at IHS. “Sales also picked up in the United States and China, helping to further boost the market. Despite this, there will be little opportunity for suppliers to increase pricing even after the market has evened out, due to continuing uncertainties in the global economy. Chinese demand also is expected to decline after the Lunar New Year sales season in January, preventing prices from increasing.”

Large-sized LCD fab capacity utilization was running at 78% in December compared to 86% a year earlier. This reduced available supply, slowing the rate of price declines during the month.

Among the individual large-sized LCD applications, prices for television panels fell 0.2% in December compared to a 0.6% contraction in November. TV panel prices, however, are expected to remain flat in the first quarter of 2012.

Global television inventories in the worldwide retail channel reached a four-to five-week low in January after robust sales during the holidays in the United States and the Lunar New Year holiday in China. This development may cause some brands to build up inventory for future months as well as to stockpile supply for new model introductions. In particular, many new TV sizes — such as 39" and 50" panels — are expected to be introduced. Brands also are exploring 60-inch-and-larger sizes for the niche market, after the sizes proved successful during holiday sales.

Overall, TV panel inventories have declined to less than 25 days, compared to their usual 30-day average. Moving forward, LCD TV panel production will be lower in the first quarter because of the Lunar New Year holidays observed in the Asian manufacturing zones where the panels are made, as well as the shorter month in February.

In comparison to the TV space, panel pricing was down 0.1% in December for the monitor and notebook segments, which individually had fallen 0.2% the month before.

For the desktop PC monitor area, corporate demand remains weak because of prevailing economic caution in the business and enterprise world. Meanwhile, mobile products like tablets, ereaders and notebooks grabbed more sales among consumers than monitors did during the recent Christmas holiday sales. Overall growth in 2012 remains uncertain for the area.

In the notebook PC segment, the October flooding in Thailand is likely to impact production into early 2012, affecting panels being purchased for notebooks in the process. As a result, brands will continue to adjust inventory and pricing in channels, given the shaky outlook here for some time to come.

Increasingly, capacity for notebook and monitor panel production is being shared with that for TV.

Also, more large-sized LCD capacity is being shifted toward tablet applications due to the success of devices like Apple Inc.’s iPad, leading to adjustments in production throughout the chain.

Access the report, Large-LCD Panel Price Stabilized in December After Five Months of Decline, at http://www.isuppli.com/Display-Materials-and-Systems/Pages/Large-LCD-Panel-Price-Stabilized-in-December-After-Five-Months-of-Decline.aspx?PRX

Visit the new Displays Manufacturing Channel on ElectroIQ.com!

February 13, 2012 — Yole Developpement released "European Microelectronic Fabs Database & Report 2012," a database and report on the European microelectronics and microsystem manufacturing fabs, pilot lines, and major R&D organizations.

The database details fab locations, products, technologies, manufacturing facilities, and financial information. Fab data includes employee counts, sales figures, capacity info, and more.

The database covers 50 countries, extending throughout Europe and the Middle East area (EMEA), and Russia. There are 300+ fabs in Europe, Yole reports. It includes major changes that have taken place since 2007, such as fab closures and new investments.


 
The European Microelectronic Fabs Database & Report 2012 includes:

The database can be used to understand the European fab environment, and assess business opportunities in the European and Middle-East market.

Companies described in the database: ABB Semiconductor, Acreo AB, Altis/GIS Semiconductor, Analog Devices, austriamicrosystems, Autopribor, Avago Technologies, Boehringer Ingelheim microParts, Robert Bosch, Colibrys, Dynex Semiconductor, e2v technologies, ELMOS, EM Microelectronic Marin, EPCOS, Estel, First Sensor Technology, FLIR Systems, Freescale Semiconductor, GE Measurement & Control Solutions, GLOBALFOUNDRIES, HL-Planartechnik, IBM Microelectronics, IMEC, Infineon Technologies, Intel, International Rectifier, IPDIA, Leister Process Technologies, LETI, Lionix, LITE F, MHS Electronics, Micran, Microfab Bremen, Micron Technology, Micronas, Nemotek Technologies, Norstel, Nortel Technology, NXP, Oclaro Inc., Olivetti I-Jet, OMMIC, On Semiconductor, OptoGaN, OSRAM, Philips, Photonix, Prema Semiconductor, Pulsar (Scientific Research Institute), Raytheon Company, Retina Implant, RF Micro Devices, Scottish Microelectronics Centre, SE MEFAB, SENSIRION, SenSonor Technologies, Sigm Plus, Silex Microsystems, Siliconix, Silway Semiconductor, SINTEF, STMicroelectronics, Telefunken Semiconductors, Texas Instruments, Texet, Three-Five Epitaxial Services, TowerJazz Semiconductors Ltd., Tronic’s Microsystems, ULIS , United Monolithic Semiconductors, Vishay Intertechnology, VTI Technologies, VTT, XFAB semiconductor.

Access the report at http://www.i-micronews.com/reports/European-Microelectronic-Fab-Database-Report-2012/226/

February 10, 2012 – BUSINESS WIRE — GT Advanced Technologies Inc. (NASDAQ: GTAT) subsidiary, GT Crystal Systems, will supply 500,000 2" equivalent (TIE) 6" C-plane sapphire cores to Chongqing Silian Optoelectronics Science & Technology Co. Ltd. (Silian). Silian produces high-quality sapphire substrates for high-brightness light-emitting diode (HB-LED) manufacturing.

Large-diameter sapphire wafers enable higher yields in the LED industry, noted David Reid, COO and GM, Silian.

The sapphire ingots will be produced from boules grown in GT’s ASF sapphire growth furnaces installed in the company’s newly expanded sapphire manufacturing facility in Salem, MA. Silian is a standing customer of GT Crystal Systems, and Reid noted the "consistency and quality of ASF-grown sapphire."

GT Advanced Technologies Inc. provides polysilicon production technology, and sapphire and silicon crystalline growth systems and materials for the solar, LED and other specialty markets. For additional information please visit www.gtat.com.

Also read: Rubicon re-ups sapphire wafer contract with largest customer

Visit the new LEDs Manufacturing Channel on ElectroIQ.com!