Category Archives: Top Story Right

February 10, 2012 — At SPIE Advanced Lithography conference (February 12-16 in San Jose, CA), imec will showcase successful implementation of a 300mm fab-compatible directed self-assembly (DSA) semiconductor manufacturing process line in imec’s 300mm cleanroom fab. Tokyo Electron Ltd. (TEL), the University of Wisconsin, and AZ Electronic Materials joined imec in upgrading an academic lab-scale DSA process flow to a fab-compatible flow, possibly extending optical lithography beyond its current limits.

DSA patterning enables frequency multiplication via block copolymers. DSA, used in conjunction with an appropriate pre-pattern that directs the patterning orientation, reduces the pitch of the final printed structure. It also can be used to repair defects and repair uniformity in the original print, a feature that can be combined with extreme ultraviolet (EUV) lithography to mitigate local variation in the critical dimension (CD), especially in case of small contacts.

Also read: EUV, DSA ready at 11nm from IBM.

Imec’s 300mm fab set-up includes a specially configured TEL DSA coater/developer with installed DSA materials in gallon-size quantities, DSA defect inspection for metrology, and in-house pattern transfer capabilities. imec will study DSA defectivity, employing its 248nm, 193nm (dry and immersion) and EUV lithography tools on site.

Figure. 14nm polystyrene lines on 28nm pitch after PMMA removal fabricated by DSA using 193nm immersion based 84nm pitch pre-pattern (left) and demonstration of the ability to repair a 200nm gap in the pre-pattern (right).

The researchers aim to increase DSA pattern reliability to semiconductor fab standards, and further advance DSA use in a repair capacity with EUVL.

This research is part of imec’s Advanced lithography program, available to imec’s partners in its core CMOS programs. Imec’s key core CMOS partners are Globalfoundries, INTEL, Micron, Panasonic, Samsung, TSMC, Elpida, Hynix, Fujitsu and Sony.

Imec performs world-leading research in nanoelectronics. Further information on imec can be found at www.imec.be.

AZ Electronic Materials is a global producer and supplier of high-quality, high-purity specialty chemical materials for the manufacture of integrated circuits (ICs) and devices, flat panel displays (FPDs), light-emitting diodes (LEDs), and photolithographic printing. Learn more at www.az-em.com.

TOKYO ELECTRON LTD. (TEL) supplies innovative semiconductor and FPD production equipment worldwide. TEL is a publicly held company listed on the Tokyo Stock Exchange. Internet: http://www.tel.com.

Subscribe to Solid State Technology

In this three-part series, SEMATECH’s authors cover metrology for FinFETs and 3D memory devices, and defect detection capabilities at 22nm. Read Part 2 on 3D memory metrology here. Part 3, sharing new defect detection technologies, can be found here.The series appears in the upcoming March 2012 issue of Solid State Technology, along with other metrology-focused pieces from KLA-Tencor and Entegris. Subscribe to Solid State Technology magazine here.

February 9, 2012 — The 22nm node marks the beginning of a major transition from conventional scaling-driven planar semiconductor devices to complex 3D transistor architectures, redefining future needs for lithographic, defect, and films metrology technologies for high-volume chip manufacturing.

FinFETs raise new metrology complexities, as the entire 3D structure becomes critical for process control, including fin and gate dimensions, profiles and roughness, and metal gate undercuts. Similarly, future 3D memory devices (Part 2 of this series) will include multiple gate-level structures defined by high aspect ratio (HAR) trenches and holes in multilayer stacks, which are major gaps in current metrology technology. No in-line non-destructive metrologies have achieved the sensitivity and resolution to image or measure CD, depth, profile, or contamination of such HAR features [1]. In addition, defect metrology inspection and review (Part 3) suffer from low sensitivity and inadequate throughput even for current 22nm defects of interest. To address these challenges, a robust metrology strategy should encompass the extendibility of conventional techniques that are approaching their fundamental limits, as well as development of new technologies.

Planar transistors are reaching their critical performance limitations due to undesirable short channel effects imposed by physical scaling. In 3-D FinFET or Trigate devices, the gate surrounds the channel on multiple sides, resulting in higher drive current [1], better electrostatic control (lower off-state leakage), and lower supply voltage requirements than planar devices. To continue to scale with Moore’s law, devices having 3D architectures will enter manufacturing in 2012 at the 22nm node.

Metrology demands for 3D structures and their more complex integration steps are considerably greater than for 2D devices. The ability to measure fin and gate dimensions accurately with good precision, and to detect subtle process changes for feedback or feed-forward control is essential to assure good device performance and high yield in high volume manufacturing (HVM). For example, variations in FinFET height (more of a concern on bulk Si substrates) can likewise lead to drive current variability. Sharp fin corners can affect threshold voltage [1], and gate dielectric undercutting can cause shorts between the gate and channel regions or Ion/Ioff variation. Fin line edge and width roughness, sidewall angle (SWA), profile, corner rounding, and gate dielectric undercutting are also critical process control variables. Some of the critical metrology steps entail critical dimension-scanning electron microscope (CD-SEM) measurements (resist and etch fin and gate CD and pitch; spacer width at the bottom; pre- and post-etch Hi-k/metal gate sidewall thickness on the fin; and sidewall line edge roughness). Additionally, scatterometry is required for fin height and gate profile, CD, and pitch (lithography and etch), buried oxide (BOX) recess under fin, gate height over fin after chemical mechanical polishing (CMP), high-k/metal gate (HKMG) thickness and taper on the fin and recess after gate etch, and spacer profile (Fig. 1a).

Figure 1a) Cross-sectional diagram perpendicular to the fin showing the gate on the fin with spacer. b) Diagram of a basic unit cell of a FinFET, demonstrating twelve important process control parameters.

Conventional metrology methods used in HVM, such as CD-SEM and optical scatterometry, may be challenged by the increased complexity of FinFETs. While CD-SEM demonstrates superior imaging capability, it has no sensitivity to fin height, layer recess, or SWA. Scatterometry is useful for FinFET metrology, but greater parameter correlation increases the measurement uncertainty, similar to increasing the number of variables in an equation. In Figure 1b, showing a diagram depicting a gate-on-fin structure, twelve parameters must be solved by the scatterometry software rather than only the five or six parameters typical for 2-D devices. One possible approach to improve the performance of metrology on complex structures is hybrid metrology, which combines the strengths of two or more metrology toolsets to provide a more comprehensive measurement of the same parameter than the individual techniques. Data obtained from one tool must be shared with another tool and used in a complementary or synergistic way to enhance the resolving power of both tools, thereby improving measurement uncertainty [2].

Several new metrology techniques are being explored at SEMATECH to improve measurement performance on FinFETs, including new technologies such as critical dimension small angle x-ray spectroscopy (CD-SAXS) [3]. The shorter wavelength (1.54Å for Cu Ka) for CD-SAXS and the lack of material dependence (no n and k sensitivities) allow measurements on smaller devices with less parameter correlation. Pitch and pitch variation can be obtained from major reflections and intensity decay with increasing order. The CD-SAXS envelope functions correlate to geometric form factors, and line width roughness (LWR)/line edge roughness (LER) information can be obtained from peak-broadening. Mueller matrix scatterometry [4] provides additional structural information associated with up to 16 spectral components compared to conventional scatterometry, which is important in measuring anisotropic 3D structures.

Dopant and carrier metrology for conventional planar devices has been performed primarily using secondary ion mass spectrometry (SIMS) and sheet resistance metrology on test pads. However, FinFET structures require novel ultra-shallow junction implant strategies because of shadowing effects on densely packed fins from conventional tilt implants. Metrology capable of measuring dopant and active carrier concentrations on vertical structures is needed, but currently poses a significant challenge. Three-dimensional atomic probe tomography (3D-APT) [5] combines field evaporation with time-of-flight mass spectrometry and a position-sensitive detector to provide atomic resolution imaging of the semiconductor device, including dopants. Similarly, scanning spreading resistance metrology (SSRM) is a candidate for active carrier metrology at nanometer spatial resolution. SSRM has demonstrated excellent performance in conjunction with 3D-APT and SIMS [6]. Transmission electron microscopy (TEM) techniques such as energy-dispersive X-ray (EDX) and electron energy-loss spectroscopy (EELS) are valuable in determining dopant concentration and distributions. As these implant metrology techniques are destructive, in some cases, it may be possible to create sacrificial test structures on selected die without affecting subsequent processing. Optically based implant metrology will also be more difficult on sidewalls and on structures having optically opaque layers.

Conclusion, Part 1

As device technology transitions from traditional scaling to new architectures, new application needs are driving metrology towards evolutionary and revolutionary shifts in technologies and methodologies.

Part 2 of this series covers metrology for 3D memory device architectures. Read it here.

Part 3 covers new defect detection technologies for these architectures. Read it here.

References

[1] Doyle, B. et al., “Tri-Gate Fully-Depleted CMOS Transistors: Fabrication, Design and Layout,” Symposium on VLSl Technology Digest of Technical Papers, pp. 133-134 (2003).

[2] Vaid, A., et al. “A holistic metrology approach: hybrid metrology utilizing scatterometry, CD-AFM, and CD-SEM.” Metrology, Inspection, and Process Control for Microlithography XXV. Proceedings of the SPIE, Volume 7971, pp. 797103-797103-20 (2011).

[3] Wang, C., Choi, K., Chen, Y. Price, J., Ho, D., Jones, R., Soles, C., Lin, E., Wu, W.L., Bunday, B. “Nonplanar high-k dielectric thickness measurements using CD-SAXS.” Proc. SPIE, v. 7272, pp 72722M (2009).

[4] De Martino, A., et al., “Comparison of Spectroscopic Mueller Polarimetry, Standard Scatterometry and Real Space Imaging Techniques (SEM and 3D-AFM) for Dimensional Characterization of Periodic Structures,” Proc. of SPIE Vol. 6922, 69221P (2008).

[5] Larson, D.J., and Kelly, T. F., “Nanoscale Analysis of Materials using a Local-Electrode Atom Probe,” Microscopy and Microanalysis Volume: 20, Issue: 3, pp: 59-62 (2006).

[6] Mody, J. et al., “Dopant and Carrier Profiling in FinFET-Based Devices with Sub-Nanometer Resolution,” 2010 Symposium on VLSI Technology, pp. 155-156

Abraham Arceo is a metrology development engineer at SEMATECH Advanced Metrology group. For the past three years he has been involved in film and defect inspection metrology development.

Benjamin Bunday is the project manager of CD Metrology and a Senior Member Technical Staff at SEMATECH (Albany, NY, USA). For ten years he has led SEMATECH/ISMI’s CD-SEM and OCD benchmarking, advanced CD technology evaluation and development efforts, and SEMATECH’s Advanced CD Metrology Advisory Group (AMAG).

Aaron Cordes is a research engineer for Sematech in Albany doing work on AFM, TEM, and focused ion beam metrology. He is also a PhD student with SUNY Albany’s College of Nanoscale Science and Engineering.

Victor Vartanian is a metrology engineer at SEMATECH in Albany, New York. Before coming to SEMATECH, he worked at Freescale Semiconductor in Austin, Texas, where he worked on applications of strained silicon to advanced transistor design and analytical applications of FTIR and mass spectrometry to environmental issues in semiconductor manufacturing and in process optimization.

February 8, 2012 — The Semiconductor Industry Association (SIA), representing US semiconductor manufacturing and design, released the 2011 International Technology Roadmap for Semiconductors (ITRS), a roadmap of near-term and long-term challenges and innovations for the semiconductor design and manufacturing industry through 2026.

Also read: ITRS 2010: What happened during this off-year?

The ITRS is sponsored by five regions of the world; Europe, Japan, Korea, Taiwan, and the United States and is overseen by SIA. The 2011 ITRS was first presented at a public forum in Incheon, Korea on December 14, 2011. Through the cooperative efforts of the global chip manufacturers and equipment suppliers, research communities and consortia, the Roadmap teams identify critical challenges, technical needs and potential solutions.

2011 edition. Several key areas of advancement have been highlighted in the 2011 ITRS, specifically: DRAM and Flash memory, and micro-electro-mechanical systems (MEMS).

Dynamic random access memory (DRAM) technology development will be accelerated, allowing for new higher-performance servers and sophisticated graphics for game consoles. Flash technology, used as memory in mobile computing devices such as digital cameras, tablet PCs and cell phones, will experience accelerated development over the next 2 years. The introduction of three-dimensional (3D) flash technology, beginning in 2016, will bring greater memory capabilities to a range of popular consumer electronics.

The 2011 ITRS also explores the newest possibilities for innovative interconnects, switches, devices, and materials to advance nanotechnology. While the continued scaling down to the nanometer level occurs, innovative designs and models for new applications and products have expanded research and development of MEMS, increasingly included in smartphones, tablets, digital cameras, and numerous other consumer electronic products. Researchers are also increasing attention on RF and analog mixed-signal technologies.

Also read: NIST collaborates on MEMS roadmaps: ITRS, iNEMI

One of the primary challenges that the industry has identified is how to decrease the size of semiconductors while increasing performance standards to meet consumer demands. In addition to addressing scale and performance challenges, the ITRS presents models for enhancing the highly complex manufacturing and measurement processes required to achieve smaller, higher performance and more energy efficient semiconductors. The ITRS also focuses on cost-effective manufacturing and resource conservation to meet the rapidly changing needs of semiconductor design innovations.

Also read: Packaging, assembly changes coming in next ITRS

Each ITRS working group coordinates with related teams across disciplines to write reports indicating the state of the current technology, technology challenges, critical needs, potential solutions, and areas of innovation. When incorporated into the ITRS, the reports provide guidelines for the global industry that are intended for technology assessment only, without regard to any commercial considerations. The roadmap can serve as a guide for corporate strategic plans and business unit programs; help to assess lead times for equipment development plans, process and materials; and assess key metrics for industry productivity/profitability such as progress on Moore’s law, productivity trends, industry cycles and economic models.

Access the ITRS at www.itrs.net

Subscribe to Solid State Technology

February 7, 2012 — Novellus Systems (NASDAQ:NVLS) debuted the VECTOR Strata dielectric deposition tool for high-volume manufacturing of vertically integrated memory (VIM) Flash devices. VECTOR Strata aims for ultra-smooth films with exceptional defect performance, enabling in-situ deposition of the alternating silicon-based layers used in the formation of the VIM structure.

It joins the ALTUS ExtremeFill tungsten chemical vapor deposition (CVD) system for this emerging semiconductor manufacturing market.

Figure 1a) VECTOR Strata’s ultra-smooth films deposited in an alternating layer film stack in comparison to b) conventional PECVD films.

VIM is an alternative to planar NAND Flash devices, which experience parasitic inter-wire capacitance issues and shifts in the threshold value of a memory cell during programming at small geometries. At sub-20nm dimensions, the number of electrons in the floating gate of a traditional planar NAND flash device is reduced to the point where multiple bits per cell are no longer possible. Different 3D VIM designs require specific materials, all made with alternating layers of silicon-based films. Each pair of alternating layers is used to form a memory cell, and up to 64 pairs of alternating layers are expected to be used in the formation of a VIM chip.  

Novellus designed the VECTOR Strata film tool for this manufacturing process, where underlying film roughness and defects within each layer can be magnified while building up the VIM structure. It targets exceptional nano-particle control and ultra-smooth film deposition to avoid word line edge variability. The system optimizes gas delivery and RF distribution.

The VECTOR’s multi-station sequential processing (MSSP) architecture enables high-volume production of VIM Flash in a lower-cost process. The MSSP architecture allows for independent temperature and flow control to deposition stations.

Figure 2. Atomic force microscope (AFM) images of ultra-smooth VECTOR Strata films, showing film roughness that is comparable to the underlying substrate.

Leading memory manufacturers are using VECTOR Strata for VIM development.

Novellus Systems Inc. (Nasdaq:NVLS) provides advanced process equipment for the global semiconductor industry. For more information, please visit www.novellus.com.

Subscribe to Solid State Technology

February 7, 2012 — Worldwide silicon wafer revenues improved 2% year-over-year in 2011 ($9.9 billion), shows the SEMI Silicon Manufacturers Group (SMG). Worldwide silicon wafer area shipments, however, decreased 3% (9,043 million square inches). This indicates a loss of momentum in H2 2011, said Kazuyo Heinink, chairwoman of SEMI SMG and vice president, MEMC.

Table. Annual silicon* industry trends worldwide. SOURCE: SEMI.
Silicon Data  2006 2007 2008 2009 2010 2011
Area Shipments (MSI) 7,996 8,661 8,137 6,707 9,370 9,043
Revenues ($B) 10.0 12.1 11.4 6.7 9.7 9.9
*Shipments are for semiconductor applications only and do not include solar applications.

Also read: Record semiconductor sales in 2011, says SIA and WTO says China’s export restrictions on silicon and other materials unfair

Silicon wafers are the fundamental building material for semiconductors, produced in diameters from 1" to 12". All data cited in this release is inclusive of polished silicon wafers, including virgin test wafers, epitaxial silicon wafers, and non-polished silicon wafers shipped by the wafer manufacturers to the end-users.

The Silicon Manufacturers Group acts as an independent special interest group within the SEMI structure and is open to SEMI members involved in manufacturing polycrystalline silicon, monocrystalline silicon or silicon wafers (e.g., as cut, polished, epi, etc). SEMI is a global industry association serving the nano- and microelectronic manufacturing supply chains. For more information, visit www.semi.org.

Subscribe to Solid State Technology

February 6, 2012 — Micro-scale thermal management device maker Nextreme Thermal Solutions announced that its thin-film thermoelectric technology has achieved a 60.1°C temperature difference between its cold and hot sides at an ambient temperature of 24.7°C, bringing it on par with the performance of bulk thermoelectric technology.

The 60°C temperature milestone, known as the ΔTmax, indicates the thermoelectric device’s ability to pump heat efficiently, improving cooling and lowering input power requirements for applications in electronics, photonics, automotive, avionics, and high-speed PCR applications.

The improved performance is the result of new materials development at Nextreme and the use of advanced, state-of-the-art interconnect and contact technology developed at the Center for Solid State Energetics at RTI International.

Nextreme will be introducing new products with this higher level of cooling performance in 2012.

Nextreme Thermal Solutions manufactures thin-film thermoelectric modules and subsystems. For more information, visit www.nextreme.com.

View recent issues of the MEMS Direct newsletter

February 2, 2012 — Propelled by the appeal of Apple Inc.’s iPhone and iPad, the wireless communications sphere surpassed computers to lead all segments in semiconductor-related spending among the world’s leading original equipment manufacturers (OEMs) in 2011.

Global spending by the world’s top OEMs on microchips for wireless products amounted to $58.6 billion in 2011, up 14.5% from $51.2 billion in 2010, according to an IHS iSuppli Semiconductor Spend Analysis report. This allowed wireless to exceed computers to become the world’s largest OEM semiconductor spending segment in 2011, as presented in the figure.

  2009 2010 2011 2012 2013
Wireless $40.0 $51.2 $58.6 $65.1 $72.9
Computers $37.1 $51.8 $53.7 $53.5 $54.0

Figure. Global semiconductor spending by top OEMs for the wireless and computer application markets. SOURCE: IHS iSuppli January 2012.
 
This is not the first year that wireless spending has been larger than for computers, with wireless having led as recently as 2009. However, 2011 does mark the beginning of a period when the balance of semiconductor spending will shift decisively toward wireless and away from computing. In 2013, OEM wireless spending is projected to soar to $72.9 billion, while computers will remain flat at $53.4 billion.

“Among the 10 segments tracked for semiconductor spending, the biggest market share — at 24% — belonged to the wireless market, spurred by prodigious mobile handset and tablet sales exemplified by the runaway success of Apple’s popular offerings,” said Wenlie Ye, analyst for semiconductor design and spend at IHS. “Wireless will continue to generate the most growth during the next two years. A substantial portion of the segment’s increase will be due to rising tablet sales, although mobile handsets like smartphones will continue to account for the lion’s share of semiconductor segment in the wireless area.”

Meanwhile, computer semiconductor spending in 2011 rose by just 4% to $53.7 billion, up from $51.8 billion in 2010.

“The market for desktops and notebooks has stumbled in the shadow of smartphones and tablets, whose portability and computer-like features have usurped the position of the once-mighty PCs,” Ye said.

In the fast-growing tablet space, Apple in 2011 spent more than any other OEM on semiconductors, to the tune of $4.6 billion. The iPad continues to be unmatched in its class despite earnest efforts from rival products to loosen its hold on the market. Samsung Electronics Co. Ltd. was a very distant second after Apple with $603.2 million, followed by HTC Corp. from Taiwan with $199.2 million.
 
Total semiconductor spending among the industry’s major OEMs for all application markets in 2011 reached $240.6 billion, up approximately 5% from $230.1 billion in 2010.

Although growth last year was much more modest after the massive 32% expansion of 2010, overall semiconductor spending levels rose for the second straight year, and there was no indication that the industry would retrench to the dark days of 2009 when spending contracted by a steep 13%.

The IHS iSuppli estimate and forecast tracks the spending made on semiconductor chips by the top OEMs and brands, covering a pool of 191 companies that together account for nearly 80 percent of the entire global semiconductor trade. An OEM or brand is assigned the entire sum of the semiconductor spending that it conducts by itself, or when it does so indirectly via a contract manufacturer that buys chips on behalf of the OEM or brand.

Learn more about this topic with the IHS iSuppli report, Wireless Gaining Momentum at the Expense of Compute at http://www.isuppli.com/Semiconductor-Value-Chain/Pages/Wireless-Technology-Gaining-Further-Momentum-at-the-Expense-of-Compute.aspx?PRX
 
IHS (NYSE: IHS) provides analysis on energy and power; design and supply chain; defense, risk and security; environmental, health and safety (EHS) and sustainability; country and industry forecasting; and commodities, pricing and cost. For more information, visit www.ihs.com.

Subscribe to Solid State Technology

February 2, 2012 — Rice University studied the fluorescence of single-walled carbon nanotubes (SWCNT) in new research, finding that the lengths and imperfections of individual nanotubes affect their fluorescence. This research focused on the light SWCNTs emit at near-infrared wavelengths.

The brightest nanotubes of a given length show consistent fluorescence intensity. The longer the nanotube, the brighter it fluoresces. "Maximum brightness is proportional to length," noted Bruce Weisman, who led the research. Weisman found a "well-defined limit" to the SWCNTs brightness. Brightness among nanotubes of the same length varied widely, likely due to damaged or defective structures or chemical reactions that allowed atoms to latch onto the surface.

Figure. Carbon nanotubes of varying fluorescence in a solution at Rice University.

Also read: Nano wire/CNT stack forms better photocatalytically active filter

Former graduate student Tonya Leeuw Cherukuri analyzed 400 individual nanotubes of a specific physical structure known as (10,2). The researchers applied spectral filtering to selectively view the specific type of nanotube. "We used spectroscopy to take this very polydisperse sample containing many different structures and study just one of them, the (10,2) nanotubes," Weisman said. "But even within that one type, there’s a wide range of lengths."

Weisman, Cherukuri, and postdoctoral fellow Dmitri Tsyboulski isolated 1 or 2 nanotubes at a time in a dilute sample, finding their lengths by analyzing videos of the moving tubes captured with a special fluorescence microscope. The movies also allowed Cherukuri to catalog their maximum brightness.

The researchers called these CNTs "fluorescence underachievers," because only a few bright ones fluoresce to their full potential. Most are only 50-20% of their potential brightness.

By studying the nanotubes, Rice University hopes to discover how their fluorescence is affected by growth methods and processing. This way, the researchers could minimize damage during nanotube manufacturing, potentially lessening or eliminating the dimming.

"These are insights you really can’t get from measurements on bulk samples," Weisman said.

Weisman said brightness properties may be important to medical imaging and industrial applications.

Graduate student Jason Streit is extending Cherukuri’s research, developing a way to automate the experiments to image and analyze dozens of nanotubes at once.

The research was supported by the Welch Foundation, the National Science Foundation and Applied NanoFluorescence.

Bruce Weisman’s Rice U. lab published the results in the current issue of the American Chemical Society journal ACS Nano. Access the ACS Nano article "How Nanotubes Get Their Glow": http://pubs.acs.org/doi/abs/10.1021/nn2043516

See a video of fluorescent carbon nanotubes moving in a solution at http://youtu.be/4ceWLcOMxz0. SOURCE: Jason Streit/Rice University.

Rice University is ranked among the nation’s top 20 universities. Go to http://www.rice.edu to learn more.

Visit the new LEDs Manufacturing Channel on ElectroIQ.com!

February 1, 2012 — The market for automotive micro electro mechanical system (MEMS) sensors and actuators expanded after natural disasters in Japan and Thailand last year, with car electronic systems makers seeking to expand their supply chains to mitigate risk. Automotive MEMS revenue in 2011 amounted to $2.2 billion, up 16% from 2010, according to an IHS iSuppli MEMS & Sensors report.

Figure: Worldwide automotive MEMS revenue forecast. SOURCE: IHS iSuppli Research, January 2012.

  2010 2011 2012 2013 2014 2015
Billions of US Dollars $1.9 $2.2 $2.4 $2.6 $2.9 $3.1

Automotive MEMS experienced 28% growth in 2010, accelerating out of the global recession alongside auto makers (25% growth that year). The growth rates for 2010 and 2011 are well above the 7-9% annual expansions in sensor sales see pre-recession. In 2012, expect 7% growth, owing to an inventory reduction late in the year. IHS expects inventory cuts to be offset by ramping car shipments and increasing safety mandates that put more MEMS in vehicles.

Also read:

Pressure sensors, accelerometers, gyroscopes, and flow sensors account for the majority of automotive MEMS revenue: 21 out of 24 identified applications for MEMS in the automotive space, and nearly 99% of the entire value of the automotive MEMS market.

After "suffering short supplies of parts" in 2009, automotive system makers expanded their components sources, said Richard Dixon, senior analyst for MEMS and sensors at IHS. This prepared the industry well for the earthquake in Japan (March 2011) and floods in Thailand (late 2011). In some instances these companies were able to re-qualify parts from new sources, helping MEMS sensor sales stay on track.

The automotive MEMS sensor market will expand more rapidly than originally expected over the next few years, achieving a 2010-2015 five-year compound annual growth rate of approximately 10% and $3 billion+ revenue by 2015. Government-mandated automotive safety measures — such as tire-pressure monitoring systems (TPMS) and electronic stability systems (ESC) — along with automotive expansion into new markets will push growth in the long-term.

October 2012 will see Japan adopt a mandate on ESC within its shores, similar to a timeline projected for Europe, where new models will be fitted with ESC to detect any discrepancy between the driver’s intention and the actual motion of the vehicle (ESC automatically intervenes to prevent dangerous skidding).

By 2014, all existing vehicles in Japan as well as new “mini” vehicles will be outfitted with ESC. The ESC mandate in Japan is expected to impact a total of 5 million vehicles in 2012, according to IHS Global Insight.

Learn more in the IHS iSuppli report, Another Good Year for Automotive MEMS Sensors.
 
IHS (NYSE: IHS) provides analysis on energy and power; design and supply chain; defense, risk and security; environmental, health and safety (EHS) and sustainability; country and industry forecasting; and commodities, pricing and cost. For more information, go to www.ihs.com.

View recent issues of the MEMS Direct newsletter