Category Archives: Power Electronics

CEA-Leti today announced that it has joined the GLOBALSOLUTIONS ecosystem as an ASIC provider, specifically to support GLOBALFOUNDRIES’ 22FDX (TM) technology platform.

Launched this summer, GLOBALFOUNDRIES’ 22FDX technology platform is the industry’s first 22nm FD-SOI semiconductor technology developed specifically to meet the ultra-low-power requirements of the next generation of connected devices. The versatility of the 22FDX platform is a result of unmatched design flexibility and intelligence, including software-controlled transistor body-biasing that provides real-time trade-offs between power and performance. Delivering FinFET-like performance and energy-efficiency at a cost comparable to 28nm planar technologies, the platform enables a new level of innovations on next-generation chips and sets new standards in-terms of user experience for Internet of Things (IoT), mainstream mobile, RF, and networking applications.

GLOBALSOLUTIONS was created more than five years ago to spur innovation in the semiconductor industry and assure chip designers receive world-class service from design conception to production. The ecosystem combines GLOBALSOLUTIONS’ internal resources with a broad spectrum of partners to efficiently enable the fastest time-to-volume for foundry customers.

“Together with our design services partners, we are able to offer a full suite of services and comprehensive turnkey solutions that confirms GLOBALFOUNDRIES’ leadership in providing high-performance customized products in the FD-SOI and ASIC markets,” said Gary Patton, chief technology officer and head of worldwide R&D at GLOBALFOUNDRIES. “Our expanded partnership with Leti further reflects our commitment to find design implementations that will accelerate time-to-volume and deliver ultra-low-power solutions to our customers.”

Earlier this year, Leti assigned a team of experts to GLOBALFOUNDRIES’ Dresden, Germany, Fab 1 to support ramp up of the platform. As an ecosystem partner, Leti will provide GLOBALFOUNDRIES’ customers circuit-design IP, including for its back-bias feature for FD-SOI, which enables exceptional performance at very low voltages with low leakage.

“This strategic partnership with GLOBALFOUNDRIES positions Leti to help a broad range of designers utilize FD-SOI technology’s significant strengths in ultra-low-power and high performance in their IoT and mobile devices with 22nm technology,” said Marie Semeria, Leti CEO. “In addition, it gives both sides’ customers increased access to our respective technologies. This kind of partnership is a key part of Leti’s global strategy.”

Altatech, a specialty equipment manufacturer for mature and advanced materials deposition and defect inspection, announced today the expansion of its Eclipse series with a new, high-speed inspection system dedicated to ultra-thin, transparent and bonded substrates inspection for 3D applications in power, MEMS, and mobile technologies. The Eclipse TS represents a unique high-reliability and easy-to-implement inspection system solution ready for mass production, in response to the demand for these advanced substrates being driven by the rapidly growing markets in automotive, industrial power and mobile electronics. The Eclipse TS has been qualified for volume manufacturing at a leading-edge semiconductor manufacturer.

“We have built a tool based on a strong IP portfolio with a unique capability to inspect the front side, back side and edge of very thin wafers. This is a cost-effective solution with very good throughput. It places Altatech in a leading position within a very large market opportunity,” said Jean-Luc Delcarri, general manager of Soitec’s Altatech Division.

The Eclipse TS is a high-speed inspection system for measuring very thin and stacked wafers down to 50 microns, Taiko rings, stacked substrates, and silicon-on-glass wafers. The system can conduct front-side, back-side and edge inspection in one pass with no back-side contact and accommodate very high bow and wrap wafers up to 6 mm. In today’s 3D technologies, substrates undergo grinding, stacking and gluing. The Eclipse system is able to monitor these processes. Inspection occurs without any contact on the active surface with a throughout of more than 90 wafers per hour for 300-mm substrates.

Compliant with the latest automation standards, the Eclipse TS offers comprehensive reporting for defects classification and yield maps.

The full Altatech Eclipse series of advanced metrology and holistic inspection systems ensure wafer-surface and edge quality by detecting, counting, and binning defects during the wafer manufacturing process as well as performing continuous outgoing wafer-quality inspection. Proprietary Eclipse sub-modules are designed to detect particles and defects of interest on the front surface and wafer edge of patterned or unpatterned wafers.

Showa Denko (SDK) has developed a new grade of silicon carbide (SiC) epitaxial wafers for power devices with very low defect density. SDK will this month start commercial shipments of the new grade, in two different sizes of four inches (100mm) and six inches (150mm) in diameter, under the trade name of “High-Grade Epi (“HGE”)”.

When compared with the mainstream silicon-based semiconductors, SiC-based power devices can operate under high-temperature, high-voltage, and high-current conditions, while substantially reducing energy loss. These features enable the production of smaller, lighter, and more energy-efficient next-generation power control modules. SiC power devices are already used as power sources of servers for data centers, distributed power supply systems for new energies, and in subway railcars. Demand is expected to grow further as plans have been announced to use SiC power devices in vehicles. Furthermore, efforts are under way to develop SiC-based ultra-high-voltage (10KV class) devices for use in power generation/transmission systems.

Power modules for high-voltage, high-current applications mainly contain devices with the structure of SBD (Schottky barrier diode) and transistors with the structure of MOSFET (metal-oxide-semiconductor field-effect transistor). While SiC is increasingly used in SBD, it is difficult to use SiC in MOSFET. As MOSFET’s oxide film, formed on the surface of an epitaxial wafer, is used in device operations, finer surface defect (SD) and various types of crystal defects, including basal plane dislocation (BPD), considerably affect the yield and product quality.

For automotive applications, meanwhile, large chips measuring around 10mm square are made out of epitaxial wafers. This is because one device needs to handle a current as high as 100A. To prevent deterioration in the production yield of such large chips, the defect density of epitaxial wafers should be controlled within 0.1/cm2.

In the new product, HGE, SDK has succeeded in controlling the number of SD within 0.1/cm2 (one-third the current level of SDK’s conventional product) and of BPD within 0.1/cm2 (one-hundredth or less compared with conventional product). As a result, it is now possible to almost eliminate device defects attributable to BPD (assuming the use of a 10mm square chip). SDK believes that the new product will greatly contribute to the commercialization and market expansion of “full SiC” power modules that combine SiC-SBD and SiC-MOSFET.

Using the HGE technology, SDK has also succeeded in producing SiC epitaxial wafers with film thickness of 100um or more, having low levels of defect density and good uniformity. SDK will start commercial shipments of these SiC epitaxial wafers for use in power generation/transmission systems. The size of the market for SiC epitaxial wafers for power devices is expected to reach 100 billion yen in 2025. SDK will continue its efforts to meet requirements for higher quality, contributing toward expansion of the market.

By Christian Gregor Dieseldorff, Industry Research & Statistics, SEMI (September 8, 2014)

The general consensus for the semiconductor industry is for this year’s positive trend to continue into 2015 as both revenue growth and unit shipment growth are expected to be in the mid- to high- single digit range. SEMI just published the World Fab Forecast report at the end of August, listing major investments for 216 facilities in 2014 and over 200 projects in 2015.  The report predicts growth of 21% for Front End fab equipment spending in 2014 (including new, used, and in-house), for total spending of US$34.9 billion, with current scenarios ranging from 19% to 24%.

Front end fab equipment spending is projected to grow another 20% in 2015 to $42 billion.  According to the SEMI World Fab Forecast data, this means that 2015 spending could mark a historical record high, surpassing the previous peak years of 2007 ($39 billion) and 2011 ($40 billion).

About 90% of all equipment spending is for 300mm fabs, and, interestingly, the report also shows increased fab equipment spending for 200mm facilities, growing by 10% in 2014.  Equipment spending for wafer sizes less than 200mm is also expected to grow by a healthy 12% in 2015 which includes LEDs and MEMS fabs.

According to the World Fab Forecast, the five regions spending the most in 2014 will be Taiwan ($9.7 billion), Americas ($7.8 billion), Korea ($6.8 billion), China ($4.6 billion), and Japan ($1.9 billion). In 2015, the same regions will lead: Taiwan ($12 billion), Korea ($8 billion), Americas ($7.9 billion), China ($5 billion), and Japan ($4.2 billion). Spending in Europe is expected to nearly double to $3.8 billion.

Seven companies are expected to spend $2 billion or more in 2014, representing almost 80% of all fab equipment spending for Front End facilities. A similar pattern will prevail in 2015.

Worldwide installed capacity falls below 3% mark

World_fab_chart

Figure 1 illustrates fab equipment spending since 2003 and the change of installed capacity (excluding Discretes and LEDs).

As Figure 1 illustrates, before the last economic downturn, most equipment spending was for adding new capacity. The World Fab Forecast report shows that in 2010 and 2011, fab equipment spending growth rates increased dramatically, but installed capacity grew by only 7% in both years. Then in 2012 and 2013, growth for installed capacity sagged even further with only 2% and even less growth. Previously, growth rates less than 2% have been observed only during severe economic downturns (2001 and 2009).

Industry segments, such as foundries, see continuous capacity expansion, though other segments show much lower growth — thus pulling down the total global growth rate for installed capacity to below the 3% mark. Although spending on equipment, some leading-edge product segments experience a loss of fab capacity and, looking closer at this phenomenon, two major trends are observed.

First, coming out of the 2009 downturn, SEMI reports that companies are spending much more on upgrading existing fabs.  From 2005-2008, yearly average spending on upgrading technology was about $6 billion compared to the period of 2011-2015 when the yearly average increased to $14 billion for upgrading existing fabs.  Second, leading-edge fabs experience a loss of capacity when transitioning to leading-edge technology. This is largely observed with nodes below 30/28nm with the increasing complexity and process steps resulting in a -8% to -15% reduction in capacity for fabs.

In addition to foundries, the World Fab Forecast report captures capacities across all industry segments as well as System LSI, Analog, Power, MEMS, LED, Memory and Logic/MPUs. The Logic/MPU sector is also expected to see some positive capacity expansion for 2014 and 2015. Flash capacity is expected to increase by 4% in 2014. Although we see more DRAM capacity coming online, DRAM is now slowly coming out of declining territory with -3% in 2014 and reaching close to zero by end of 2015.

More DRAM capacity?

Over the past three to four years, some major players (such as Samsung, Micron, and SK Hynix) have switched fabs from DRAM to System LSI or Flash.  In addition, other companies stopped DRAM production of some fabs completely, contributing to declining DRAM capacity. Equipment spending levels for DRAM fabs in 2012 and 2013 were near the $4 billion mark annually and are described by some industry observers as being at “maintenance level.”  Increased spending is expected for DRAM in 2014 and 2015, yet although more capacity is being added — the rates are still negative until the end of 2015.  See Figure 2.

Figure 2: Fab equipment spending is compared to the change rate of capacity for DRAM.

Figure 2: Fab equipment spending is compared to the change rate of capacity for DRAM.

As discussed above, SEMI reports that leading-edge DRAM fabs undergo a double-digit capacity loss when upgraded due to an increase in processing steps and complexity. Since the end of last year, Samsung is in the process of adding additional DRAM capacity with two new lines — Line 16 (ramping up this year) and its new Line 17 (the first new DRAM fab ramped since the last economic downturn). In addition SK Hynix is ramping up its M14 DRAM line in 2016. We expect the impact to overall DRAM capacity expansion to occur in 2015 when this fab begins to ramp up. Even if this fab ramps to about half of its potential, the change rate for installed DRAM capacity would still not be positive by end of next year.

Over $6 billion for Fab construction projects

The SEMI World Fab Forecast also provides detailed data about fab construction projects underway. Construction spending is expected to total $6.7 billion in 2014 and over $5 billion in 2015.  Leading regions in spending for 2014 will be Taiwan, Americas, and Korea.  In 2015, the highest spending will be seen in Europe/Mideast, followed by Taiwan and Japan.

Only five companies show strong spending numbers for new fabs or refurbishing existing fabs. Their combined fab construction spending accounts for 88% of all worldwide fab construction spending for Front End facilities.

In 2014, the SEMI report shows 16 new fab construction projects (six alone for 300mm) and 10 fab construction project in 2015 (four for 300mm). Most construction spending in 2014 is for Foundries ($3.1 billion) followed by Memory ($2.5 billion) and Logic. In 2015, Memory will have most spending with ($2.3 billion) closely followed by Foundries ($2.2 billion).

The report lists currently 1150 facilities with 68 future facilities with various probabilities which have started or will start volume production in 2014 or later. See Figure 3.

Figure 3: Count of known facilities (Volume fabs to R&D) in the World Fab Forecast report with various probabilities which are expected to start production in 2014 to 2020.

Figure 3: Count of known facilities (Volume fabs to R&D) in the World Fab Forecast report with various probabilities which are expected to start production in 2014 to 2020.

As it looks right now, SEMI reports that the outlook is positive for 2014 for the chip-making industry compared to the previous few years and the outlook for 2015 also remains healthy.  However, given the current investment trends for spending at the advanced technology nodes and the decline in construction related activity, we continue to expect worldwide capacity expansion to remain in the low-single digits in the next three to five years.

SEMI World Fab Forecast Report

The SEMI World Fab Forecast uses a bottom-up approach methodology, providing high-level summaries and graphs, and in-depth analyses of capital expenditures, capacities, technology and products by fab. Additionally, the database provides forecasts for the next 18 months by quarter. These tools are invaluable for understanding how the semiconductor manufacturing will look in 2014 and 2015, and learning more about capex for construction projects, fab equipping, technology levels, and products.

The SEMI Worldwide Semiconductor Equipment Market Subscription (WWSEMS) data tracks only new equipment for fabs and test and assembly and packaging houses.  The SEMI World Fab Forecast and its related Fab Database reports track any equipment needed to ramp fabs, upgrade technology nodes, and expand or change wafer size, including new equipment, used equipment, or in-house equipment. Also check out the Opto/LED Fab Forecast. Learn more about the SEMI fab databases at: www.semi.org/MarketInfo/FabDatabase and www.youtube.com/user/SEMImktstats

 

Capital equipment suppliers must provide advanced analytical systems that leverage data generated by their tools to help their fab customers address the challenges of Big Data and advanced analytics. 

BY TOM MARIANO, Foliage, Burlington, MA 

We live in a highly-connected world. Powerful intelligent devices for personal and home use are pervasive and proliferating at an accel- erated rate and will number in the tens of billions in the years to come. These devices are connected to powerful back-end software creating intelligent systems. The semiconductor industry is a major enabler of these intelligent systems. The industry’s drive to adhere to Moore’s Law has resulted in extremely low-cost memory, tremendous computing power and high-speed connectivity, in packages that are low cost and have low power consumption.

These device-level advances when combined with innovations in information technology such as Cloud computing, Big Data and advanced analytics are at the core of intelligent systems that impact our daily lives. Glancing at my phone right now, I see iTunes, YouTube, LinkedIn and my home and work email—all evidence of Cloud computing. Big Data and advanced analytics are widely used for such things as targeted advertising, insurance and credit underwriting, fraud detection, healthcare research, legal discovery, social network analysis and many other areas that impact our lives. Cities around the world, from Da Nang to Fort Lauderdale are applying technologies such as advanced data and analytical tools, cloud-based services and integrated wireless services to make life easier for everyone.

In the manufacturing industry, there is a parallel revolution also leveraging the same advanced information technologies – intelligent manufacturing. The adoption of robotics and automation in manufacturing is increasing precipitously. The use of 3D printing is exploding. Manufacturing machines are becoming more and more intelligent and warehouse automation is rapidly expanding. Intelligent manufacturing systems are dependent on data—data that is shared and acted upon at all levels.

This is leading to changes on the data side as supply chains are being automatically linked for improved tracking and coordination. Advanced analytics are enabling real-time decision making on the factory floor while tool diagnostics are often happening remotely and sometimes automatically. The semiconductor industry has led other manufacturing sectors in the adoption of highly automated, intelligent manufacturing, but is lagging in the application of new information technologies.

Out in front

The need for smaller feature sizes and more aggressive cleanliness and particle-count metrics is the very nature of the semiconductor industry. The accuracy and precision requirements of this complex micro-fabrication process has always necessitated its isolation from direct human intervention. This necessity to isolate semiconductor wafer processing from humans and the drive to adhere to Moore’s Law has pushed advanced technology into the semiconductor manufacturing process resulting in significant progress in automation and optimization of process and production. Clean processing has driven the proliferation of wafer-handling automation within process tools. Wafer-handling robot arms in vacuum and atmospheric tools are standard today. Meanwhile, Moore’s Law played the primary role in wafer size increases and the automation that is present outside of the process tools.

Starting in the 200mm generation, mini-environments (i.e., SMIF pods) as a means to isolate wafers from particles during inter-tool transport became standard. The standard carrier with twenty-five wafers, and its resulting high weight along with the increased fab throughput demands driven by Moore’s Law, led to the propa- gation of inter-bay automated material handling systems (AMHS). The movement of wafers from one processing bay to the next became automated. This trend continued in the 300mm generation with larger and heavier standard carriers (i.e., FOUPs). And with this generation came standardized intra-bay AMHS. Process tool to process tool delivery of wafers was automated as a result. Fully-automated, chamber-to-chamber automation in the semiconductor industry (at least for front-end processing) is decades ahead of other discrete manufacturing industries. In recent years, there’s been an acceleration of robotics within non-semiconductor sectors, but most of these industries are only scratching the surface compared to the semiconductor industry concerning material handling automation.

The semiconductor manufacturing process has also made major advances in data automation. The manufacture of computer chips is extremely complex requiring hundreds of process steps, each affecting change to the silicon wafers at a microscopic level. Also complicating the process is the need for producing multiple products in the same fab with overlapping, but also divergent process steps. This complexity drove the need and proliferation of manufacturing execution systems (MES) in semiconductor processing. Process tool data connections, so-called tool automation is also commonplace, enabling automatic recipe download and tool configuration, remote control and automated data collection. Advanced Process Control (APC) is widely used to improve yield.

And finally, due to the re-entrant repetitive WIP flow required by wafer processing, sophisticated WIP scheduling and dispatching systems exist to optimize, as much as possible, fab throughput and cycle time in pursuit of Moore’s Law. When it comes to data, semiconductor manufacturing is out in front of other discrete manufacturing industries – by far it seems. In the semi industry, the combination of one hundred percent of processing tools connected and automated with metrology feedback loops via APC is not something you see in other discrete manufacturing sectors.

But lagging behind

Recent actions by several large well-known companies emphasize the escalating trend toward intelligent manufacturing. Apple, moving toward fully automated production lines in the U.S., allocated $11B to robotics and automation technology. General Electric announced a $3B investment in the “Industrial Internet of Things.” Google acquired eight robotics companies in 2013. And, Amazon bought Kiva Systems, a warehouse automation company for $750M. Similar actions echoed by thousands of less well-known companies, albeit predominantly on a smaller scale, are also playing a role in the acceleration of intelligent manufacturing. The semiconductor industry is out in front relative to material handling and data automation. However, massive non-semi investment in intelligent manufacturing information technologies is leaving the semi industry lagging far behind.

The use of Big Data, coupled with advanced analytics in the manufacturing process is another area where the semiconductor industry has a long way to go. The amount of data that is needed to be tracked in semiconductor processing is exploding. As design rules shrink to below 32nm critical dimension today and 14nm in the near future, both feature density and the number of transistors per chip experience significant growth. More features per chip translate to:

  • taking more measurements
  • higher lithography refraction rates resulting in higher error rates
  • exceptions requiring more data to resolve and lower yields meaning more excep- tions per wafer (and wafer layer)

As a result, the retention period for these measurements (e.g., to measure tool drift over time) is increased, and the volume of data to be handled by analytics (across lots and tools over time) is magnified considerably. The delayed, but looming transition to 450mm will create a geometric multiplication of the data handling needs.

The value in this massive amount of rapidly created data is in the insight and decision making that can be derived from the data. Here is where the issues lie. Semiconductor manufacturing takes advantage of APC, and in many ways, this is more advanced than a lot of other industries. However, the International Technology Roadmap for Semiconductors (ITRS 2013) emphatically states:

“…a truly comprehensive APC manufacturing strategy is not yet reality, nor is a portfolio of sensors and metrology tools to support complete factory-wide deployment, particularly given the profound changes in materials, processes, and device structures expected for future technology generations. The benefits already realized from APC are driving the development of new sensor technologies and associated control software, which will allow factory-wide comprehensive solutions to be realized in the near future.”

Integrated metrology implementation also presents difficult challenges – metrology tools included as subsystems of process tools. Usually, fabs are designed as a network of tools that each performs one specific function, not multiple functions. This assumption constrains material handling, data flow, MES, etc. Sophisticated, real-time data management and analytics are needed to take advantage of in-situ measurement data with minimal (or zero) impact to tool throughput FIGURE 1 illustrates factory scope and FIGURE 2 shows factory targets as defined by the ITRS.

FIGURE 1. Factory integration scope (Source: ITRS).

FIGURE 1. Factory integration scope (Source: ITRS).

Semi industry Fig 2

FIGURE 2. Factory integration target (Source: ITRS).

Also, in the new “Big Data” section of the ITRS, expected data volumes are shown as “TBD” which is very telling. The units are in Terabytes per day and the possibility that fabs will have to deal with multiples of Petabytes of data is very real. Beyond APC there are other significant data challenges such as traceability to lot and die, test data tracking, predictive tool mainte- nance and Fault Detection and Classification (FDC). The industry is just starting to grapple with how to effectively leverage Big Data and advanced analytics in the semiconductor manufacturing process.

There is a very complex variable interaction problem in semiconductor manufacturing. Going forward, a greater variety of data will be collected at a rapid pace. In many cases, interaction models do not exist today. This will require experimentation and experience to understand interactions in order to derive insight and value from the data. Advanced analytical techniques exist, but determining the right techniques to use for certain decision making will be extremely difficult. Infrastructure and cost are two other issues. The collection, storage and processing of large amounts of data require expensive infrastructure. Support of high data throughput process tool connectivity could require new MES and cell controller architectures. Security is also an issue. Sharing data with capital equipment suppliers and other suppliers will be necessary to derive decision-making value from the data. However this data is highly sensitive and closely guarded by the fab. Similarly, the medical industry is challenged with how to share data aggregated from patient medical records with device makers whose focus is improving patient outcomes in a way that protects patient confidentiality.

Not all the challenges fall solely on the fabs. Capital equipment suppliers have an opportunity to leverage their process and measurement tools to develop solutions to help solve the Big Data, analytics challenges of their customers – the fab operators. Understanding by these suppliers of the environment in which their tools reside will be critical. The system software that runs these tools also becomes more important. The continued development of new process controllers and add-on sensors may require an updated system design paradigm. The data acquisition and management systems of these platforms also need a fresh vision – one that can be implemented in their process and material handling control architectures. Capital equipment suppliers will need to rethink their system design and potentially their business models to leverage the value of the data that their tools can provide.

Conclusion

Semiconductor manufacturing, driven by the need for clean processing and Moore’s Law, leads most other manufacturing sectors in implementing automation and advanced process control. However, large, well-known manufacturing companies outside of semi are making huge investments to progress the use of advanced information technologies in manufacturing because they realize the advantages to be gained. Leveraging technologies capable of handling large amounts of data will provide deeper insights into their manufacturing processes.

The semiconductor industry is poised to take advantage of advanced information technologies. Yes, there is a long way to go and challenges abound. However, the potential value to each fab in addressing key operational metrics such as increased yield, reduced cycle time and increased throughput is significant. The sheer complexity of the interactions of variables in the semiconductor process and the massive amount of data to be collected, stored and analyzed are significant challenges. And, the eventual move to 450mm will compound the huge data volume and velocity issues. I believe that the solution is a collaborative approach – not only fab operators working with software solution providers deploying fab systems, but also in close collaboration with capital equipment suppliers.

Capital equipment suppliers must provide advanced analytical systems that leverage data generated by their tools to help their fab customers address the challenges of Big Data and advanced analytics. It is these companies, who understand best the process data that the equipment can track, interpret and communicate. The semiconductor industry has a long history of fab companies working with their suppliers to further the goals of the industry as a whole (e.g., SEMI standards and other consortia). This effective collaboration model can be used to leverage advanced information technol- ogies for improving the manufacturing process. Semi is lagging, but innovation, drive to success attitude, and organization of the industry will make up the ground quickly.

TOM MARIANO is Executive Vice President and General Manager, Foliage, Burlington, MA

Front End fab equipment spending is projected to increase up to another 20 percent in 2015 to US$ 42 billion, according to most recent edition of the SEMI World Fab Forecast.  In 2015, equipment spending could mark a historical record high, surpassing the previous peak years of 2007 ($39 billion) and 2011 ($40 billion). In 2014, the report predicts growth of approximately 21 percent for Front End fab equipment spending, for total spending of $34.9 billion.

Seven companies are expected to spend $2 billion or more in 2014, representing almost 80 percent of all fab equipment spending for Front End facilities; a similar pattern is expected in 2015. About 90 percent of all equipment spending is for 300mm fabs.

According to the World Fab Forecast, in 2014, the five regions with the highest forecast spending on equipment are: Taiwan ($9.7 billion), Americas ($7.8 billion), Korea ($6.8 billion), China ($4.6 billion), and Japan ($1.9 billion). In 2015, the same regions will lead: Taiwan ($12.0 billion), Korea ($8.0 billion), Americas ($7.9 billion), China ($5.0 billion), and Japan ($4.2 billion). Spending in Europe is expected to nearly double (from 2014 to 2015) to $3.8 billion.

As Figure 1 illustrates, before the last economic downturn, most equipment spending was for new additional capacity. SEMI reports that in 2010 and 2011, fab equipment spending growth rates increased dramatically, but installed capacity grew by only 7 percent in both years. In 2012 and 2013, installed capacity grew 2 percent or less. Some industry segments, such as foundries, see continuous capacity expansion, while other segments show much lower growth — pulling down the total global growth rate for installed capacity to below the 3 percent mark.

World_fab_chart

Figure 1 illustrates fab equipment spending since 2003 and the change of installed capacity (excluding Discretes and LEDs).

 

In addition to foundries, the World Fab Forecast report captures capacities across all industry segments as well as System LSI, Analog, Power, MEMS, LED, Memory and Logic/MPUs.

DRAM is now slowly coming out of a declining trend with -3 percent in 2014 and reaching close to zero by end of 2015. Over the past three to four years, some major players have switched fabs from DRAM to System LSI or Flash while others have discontinued DRAM production completely, contributing to declining DRAM capacity.

The SEMI World Fab Forecast also provides detailed data about fab construction projects, with spending expected to total $6.7 billion in 2014 and over $5.0 billion in 2015. In 2014, the leading regions for construction spending are Taiwan, Americas, and Korea.  In 2015, the highest spending is expected in Europe/Mideast, followed by Taiwan and Japan.

Learn more about the SEMI World Fab Forecast which uses a bottom-up approach methodology, providing high-level summaries and graphs, and in-depth analyses of capital expenditures, capacities, technology and products by fab. Additionally, the database provides forecasts for the next 18 months by quarter. These tools are invaluable for understanding how the semiconductor manufacturing will look in 2014 and 2015, and learning more about capex for construction projects, fab equipping, technology levels, and products.

The SEMI Worldwide Semiconductor Equipment Market Subscription (WWSEMS) data tracks only new equipment for fabs and test and assembly and packaging houses.  The SEMI World Fab Forecast and its related Fab Database reports track any equipment needed to ramp fabs, upgrade technology nodes, and expand or change wafer size, including new equipment, used equipment, or in-house equipment. Learn more about the SEMI fab databases at: www.semi.org/MarketInfo/FabDatabase and www.youtube.com/user/SEMImktstats

You can’t fix what you can’t find. You can’t control what you can’t measure. 

BY DAVID W. PRICE and DOUGLAS G. SUTHERLAND

This is the first in a series of 10 installments which will discuss fundamental truths about process control—inspection and metrology—for the semiconductor industry. By fundamental, we imply the following:

  • Unassailable: They are self-evident, can be proven from first principles, or are supported by the dominant behavior at fabs worldwide
  • Unchanging: these concepts are equally true today for 28nm as they were 15 years ago for 0.25μm, and are expected to hold true in the future
  • Universal: They are not unique to a specific segment of process control; rather they apply to process control as a group, as well as to each individual component of process control within the fab

Each article in this series will introduce one of the 10 fundamental truths and discuss interesting applications of these truths to semiconductor IC fabs. Given the increasing complexity of advanced devices and process integration, process control is growing in importance. By understanding the fundamental nature of process control, fabs can better implement strategies to identify critical defects, find excursions, and reduce sources of variation.

The first fundamental truth of process control for the semiconductor IC industry is:

You can’t fix what you can’t find. You can’t control what you can’t measure.

While it’s true that inspection and metrology systems are not used to make IC devices—they do not add or remove materials or create patterns—they are critical for making high-yielding, reliable devices. By finding defects and measuring critical parameters, inspection and metrology systems monitor the hundreds of steps required to manufacture a device, ensuring the processes meet strict manufacturing specifications and helping fab engineers identify and troubleshoot process issues when there is an excursion. Without inspection and metrology, it would be near impossible for fabs to pinpoint process issues that affect yield. However, it’s not enough to simply “find” and “measure” — a fab’s process control strategy needs to be capable and cost-effective.

Capable inspection and metrology strategies find and measure the defects and parameters that affect device yield. Cost-effective inspection and metrology is performed at the lowest total cost to the factory, where total cost is the sum of the cost of lost yield plus the cost of process control.

First, make it capable

If you can’t find it, you can’t fix it. At the heart of this truth is the understanding that, above all else, a fab’s inspection and metrology strategy must be capable. It must highlight the problems that are limiting baseline yield. It must also provide actionable information that can enable fabs to quickly find and fix excursions (FIGURE 1).

We emphasize this need for capability first because we have observed that some fabs are too quick tosacrifice capability for cost reductions. No strategy is cost-effective if it doesn’t accomplish its fundamental objective.

Below are specific questions that can help fab management evaluate the capability of its process control strategy:

  • Are you finding all sources of your defect-limited yield? Are you finding these in-line or at end-of-line?
  • Does your defect Pareto have sufficient resolution of the top yield-limiters in each module to direct the most appropriate use of factory engineering resources?
  • Have you fully characterized all of the important measurements and defect types (size range, kill ratio, root cause, solution)?
  • Do you understand the most probable incursion scenarios? What is the smallest excursion that you absolutely must detect at this step? How many lots are you willing to have exposed to this excursion before it is detected?
  • Are you inspecting and measuring at all the right steps? Can you quickly isolate the point of formation for excursions? Can you quickly disposition potentially affected lots?
  • Does a particular defect signature become confused by defects added at subsequent process steps? Or do you need separate inspections at each step in order to partition the problem? 
  • Do you have overlapping inspections to guard against the high-frequency, high-impact excursions?
  • What is the alpha risk and beta risk for each inspection or measurement? How are these related to the capture rate, accuracy, precision, matching and more?

Process control Fig 1b Process control fig 1a

 

FIGURE 1. You can’t fix what you can’t find. And you can’t control what you can’t measure. Left: P-MOS SiGe critical dimension measurement. Right: Fin patterning particle leading to a Fin Spire defect at post dummy gate etch. Source: KLA-Tencor

Then, make it cost-effective

Once a capable strategy is in place, then a fab can start the process of making it cost-effective. The best known method for optimizing total cost is usually adjusting the overall lot sampling rate. This is generally preferred because the capability remains constant.

In some cases, it may be possible to migrate to a less sensitive inspection (lower cost of ownership tool or larger pixel size); however, this is a dangerous path because it re-introduces uncertainty (alpha/beta risk) that reduces a fab’s process control capability. This concept will be discussed in more detail in our next article on sampling strategies.

Finally, it is worth pointing out that it is not enough to implement a capable strategy. The fab must ensure that what was once a capable strategy, stays a capable strategy. A fab cannot measure with a broken inspection tool or trust a poorly maintained inspection tool. Therefore, most fabs have programs in place to maintain and monitor the ongoing performance of their inspection and metrology tools.

By optimizing process control strategy to be capable and cost-effective, fabs ultimately find what needs to be fixed and measure what should be controlled—driving higher yield and better profitability.

Fast and predictive 3D resist compact models are needed for OPC applications. A methodology to build such models is described, starting from a 3D bulk image, and including resist interface effects such as diffusion. 

BY WOLFGANG DEMMERLE, THOMAS SCHMÖLLER, HUA SONG and JIM SHIELY, Synopsys, Aschheim, Germany, Mountain View, CA and Hillsboro, OR. 

With further shrinking dimensions in advanced semiconductor integrated device manufacturing, 3D effects become increasingly important. Transistor architecture is being extended into the third dimension, such as in FinFETs [1], multi-patterning techniques are adding complexity to lithographic imaging in combination with substrate topography.

Even on planar wafer stacks, process control gets more and more challenging for the 1X nm technology node, as features are being scaled down while exposure conditions remain at 193nm immersion lithography with 1.35 NA. Image contrast decreases, especially at defocus, resulting in high susceptibility for resist loss height and tapered sidewalls; resist profiles may deviate significantly from ideality. Although imaging conditions can be well controlled at nominal exposure conditions, the effect on the process window is usually substantial, as the useful depth of focus as become comparable to the resist film thickness. These dependencies are illustrated in FIGURE 1.

FIGURE 1. Extending 193nm immersion technology to the 1x technology node reveals new patterning challenges.

FIGURE 1. Extending 193nm immersion technology to the 1x technology node reveals new patterning challenges.

Especially random 2D layout structures exhibit weak image areas, where often severe resist top loss or footing occurs, which can results in critical defects within the subsequent etch process. An example for such a weak spot is shown in FIGURE 2a, taken during the early phase of process development [2]. The left clip shows a top-down SEM image of the pattern in resist, taken after the development step. It does not provide any indication for a potential defect in this area. Conventional 2D models represent well the bottom contour of the resist profile. Overlaying the model contour (red line) with the SEM image shows a very good correlation with reality, again giving no motive to apply any layout corrections. However, after etch a bridging hot spot is revealed, as can be seen on right SEM image. A more detailed analysis of the weak spot area using rigorous simulations indicates a low image contrast and severe resist loss of about 60% at the critical location, as shown in FIGURE 2b. Degenerated 3D resist profiles are one of the main root causes for post-etch hotspots at advanced technology nodes.

FIGURE 2. “Weak lithography spot” often becomes only visible after etch if 2D models are used for correction and verification.

FIGURE 2. “Weak lithography spot” often becomes only visible after etch if 2D models are used for correction and verification.

In case those “weak litho spots” in a layout are known, localized corrections to mask features can be applied to prevent yield loss. However, the diversity of random logic structures in advanced designs makes is mandatory that compact models are available which reflect the 3D nature of the resist profiles at any location within the chip, and that this information is being utilized during optical proximity correction and verification, on full chip scale. Rigorously tuned compact models provide an efficient approach to achieve this goal, as we are going outline in the subsequent sections.

Efficient generation of 3D resist compact models

The fundamentals of 3D resist simulation are well captured by rigorous lithography process simulation which is based on a first principle physical modeling approach [3 – 6]. The corresponding simulation results do not only provide an accurate representation of the expected 3D resist profile for arbitrary device patterns within a random layout context. Rigorous models are also capable of predicting the impact of process variations such as focus or dose shifts, wafer stack or illumination condition changes, to only name a few, onto the lithographic performance. This predictive power is achieved by properly separating the various contributions to pattern formation inside the models, for instance addressing optical effects and resist effects individually. Due to their physical nature, the accuracy of optical simulations is only limited by the quality of the input data charactering the optical conditions in the exposure tool. As chemical processes in the photo resist are rather complex, the corresponding models utilize a small set of free, physically or chemically motivated parameters. Only a few experimental data points, e.g. from SEM metrology, are required to calibrate those free parameters, ensuring a good match between experiment and simulation over a wide application space. However, this predictive simulation power comes at the expense of run time – the enormous demand for computational resources does not allow rigorous models at to be applied on a full chip scale.

Standard full chip mask synthesis applications such as optical proximity correction (OPC) or verification are based on the deployment of conventional 2D compact models, i.e. models which represent the resist contours visible in a top-down views. Compact models are optimized for performance. Their accuracy, i.e. the match between model and experiment, is usually achieved by optimizing a large set of fitting parameters, inputting an even larger metrology data set based on CD-SEM measurements. Expansions to a models application space, e.g. to cover additional feature types, are enabled by extending the training data set for model fitting. However, this approach has limitations, as the effort for gathering additional metrology data might become prohibitive, which is rather cogent in the case of 3D metrology.

However, as outlined above, 3D models are required to capture hotspots which are being introduced through local resist height loss. An obvious extension into the third, vertical dimension could be to build individual 2D models at different image depths, representing resist contours of a 3D profile at discrete resist heights. The application of any of the individual 2D models to downstream OPC/LRC tools is straight-forward. However, the relevant image depths need be determined in advance due to the discrete nature of the methodology itself. The critical resist heights can be predetermined, based on etch process results. In practice, a bottom model along with one or two models at critical heights are usually sufficient to detect sites where etch results become sensitive to resist profile. Then the models are directly calibrated on those critical resist heights [7].

One major challenge to support this compact model calibration approach is the preparation of the corresponding metrology data. Conventional, single plane 2D models already require a significant amount of top-down CD-SEM data based on a feature set large enough to represent the entire design space. However, only very rough estimations can be made about the actual resist profiles. This is not sufficient for a reliable 3D model calibration.

Several techniques are available to experimentally characterize the three-dimensional shape of a resist profile, such as atomic force microscope (AFM) or CD-SEM cross section measurements. Common to all these methods is that they are very complex, elaborate, and costly, and therefore not suitable for high volume metrology data collection.

Alternatively, a carefully calibrated rigorous simulator model can be used to generate virtual 3D resist profile data by outputting CD values at specific heights, for specific features. Due to the underlying physical modeling approach, only significantly less experimental data are required for resist model calibration, compared to compact model building [8]. A typical calibration data set consists of CD-SEM top down measurements on a small set of 1D structures, covering critical CDs and pitches, through process window. In addition, a few 3D reference data points, e.g. from AFM, cross section measurements, or etch finger- prints are used to tune the absolute resist height of the profiles in order to match experiment and simulations in all dimensions. This approach not only removes the potential risk of measurement inconsistency between 2D and 3D metrology results, but also opens the door for extensive data collecting with minimum fab efforts.

The CD data sets, either experimentally determined virtually generated for a number of discrete heights, is then fed to compact model calibration at multiple imaging planes. The calibration can be independent for each height. It is often found that fitting a separate threshold for each resist height enables a better match between input data and compact model results. This is mainly due to the fact that vertical resist physics, such as z-diffusion, out-diffusion at boundaries are not included in the traditional compact modeling approach. Differences are compensated through a variable threshold. In addition, other resist models parameters may also be varied to compensate the z-direction physical effects. As a result, the common physicality of the model is compromised, as over-fitting takes place.

In order to demonstrate these dependencies, rigorous simulations based on a calibrated resist model were used to generate reference CD data for over 500 gauges at 9 height positions in the resist film. The gauges represent real fab process covering both 1 dimensional and 2 dimensional layout patterns. The process settings between compact model (ProGen) and rigorous model (S-Litho) are matched exactly. FIGURE 3a shows the results of a compact model calibration in which threshold and common resist model param- eters were kept constant for all sampling heights. The example profile (left image) shows a clear mismatch between the two modeling approaches, which results in an overall matching error with a root-mean-square (RMS) value of 2.9nm for the entire data set (right image).

FIGURE 3. Matching 3D resist compact model profiles to rigorous reference data.

FIGURE 3. Matching 3D resist compact model profiles to rigorous reference data.

These limitations have been overcome by adopting more physical modeling approaches, as used in rigorous simulators, while keeping the model form compact for full-chip applications. To that end, the bulk image is calculated by using one set of retained Hopkins kernels. Optical intensity can be assessed at any image depth without accuracy compromise. Based on an accurate bulk image, the model has been extended to capture effects present in chemically amplified resists. For instance, acid generation, acid-base neutralization, and lateral as well as vertical diffusion are taking into account. Specific boundary conditions at the resist interfaces are used to account for surface effects. The model is formulated in a continuous form so that a model slice at any image depth is readily available for use after calibration. While the calibration data is collected at discrete image planes, all planes are calibrated simultaneously using one set of resist parameters to guarantee physical commonality among them. Moreover, the calibration is done stepwise carefully to ensure the optical part to account for optical effects and resist model to account for resist effects.

The corresponding results are shown in FIGURE 3b. The compact modeling approach now takes vertical diffusion effects into account, including out-diffusion at resist top and bottom, which ensures an excellent match for individual profiles (left image) as well as for the entire data set, resulting in an rms value of 0.5nm.

Compact resist model portability

The integration of physical effects into compact modeling does not only enable the extension of resist simulation into the third, i.e. the vertical dimension, as described in the previous section. Characteristics such as “portability” or “separability,” usually assigned to rigorous models only, become now available within compact modeling as well. Rather than lumping optical and resist effects into a single set of model fitting parameters, the optical set is characterized individually, and resist effects are modeled individually, and therefore separated from the optical contributions to the modeling result. The more clean the separation, the more accurate is the modeling of the resist system response to slight modified optical condition, i.e. conditions different from the ones present during calibration.

Typical simple changes to the optical setup are the variation of focus and exposure dose. FIGURE 4 shows the 3D profile results for two representative features nominal CD of 60 nm (Figure 4(a)) and a wide line with a nominal CD of 200 nm (Figure 4(b)). The calibration 4, center images), with profiles being sampled at various heights. In order to test compact model prediction, we have applied a negative focus offset (Figure 4, left images), and a positive focus offset (right images), and compared the compact model results to profiles determined by rigorous simulation, which served as a reference. The profile changes through focus are very well captured by the compact model, especially the resist top loss at positive defocus (Figure 4, right images). These results are already a first demonstration of predictive power which comes with rigorously tuned compact models. In similar experiments, we have also successfully shown that this modeling concept can be utilized to investigate unintended printing of sub resolution assist features by analyzing the 3D resist response [9], and to source variations [10].

FIGURE 4. Rigorously tuned 3D resist compact models can predict the impact of process variation on profiles without additional data fitting.

FIGURE 4. Rigorously tuned 3D resist compact models can predict the impact of process variation on profiles without additional data fitting.

3D resist model based proximity correction

An accurate and predictive 3D resist compact model can be deployed in mask synthesis verification, or lithographic rule check (LRC), to detect weaknesses in resist profiles. For severe hot spots, simple OPC retargeting is not sufficient to mitigate issues caused by degraded resist profiles. In such a case, the appli- cation of rigorously tuned 3D compact models within optical proximity correction (OPC) offers an efficient approach to automatically repair hotspots within the mask synthesis flow. ProGen models exhibit the unique property of being consistently applicable in combination with different mask correction approaches, for instance conventional OPC as well as inverse lithog- raphy technology (ILT).

FIGURE 5a shows such a weak spot on an ILT mask where the correction is based on a 2D resist compact model, just the contours representing the bottom of the resist profile (black contour). However, the 3D rigorous simulation results reveals severe resist pinching at the top of the resist bulk, as displayed in Figures 5b. Looking at the bottom contour alone, such a hotspot would not have been detected. The red contour in Figure 5a represents the corresponding 3D compact model result extracted at the resist top, confirming the rigorous simulation result. Consequently, in order to achieve a more robust mask solution, we are now taking information from the entire resist profile into the ILT cost function to compute the corresponding correction. The results are shown in Figure 5(c), including bottom resist contour (black) and top resist contour (red) for the modified mask. Although the resist profile sidewall that the location of the weak spot still show some taping, the situation has significant improved over the 2D model based correction. This is confirmed by the rigorous simulation results in Figure 5(d), which does not show indications for resist pinching anymore.

FIGURE 5. Successful OPC correction of an ILT mask, based on 3D resist compact model input.

FIGURE 5. Successful OPC correction of an ILT mask, based on 3D resist compact model input.

The above OPC results conducted by ILT using 3D resist models again imply that resist profile weakness can be corrected in a mask synthesis process with the help of one predictive, accurate 3D resist compact model. As a result, wafer yields will be greatly improved.

Summary and outlook

In this work, we have outlined the concept of using a rigorous simulation approach to tune and improve compact modeling capabilities. Characteristics such as “productivity,” “portability” or “separability,” usually known only within the context of physical models, can be transferred to compact models and therefore made available for full chip mask synthesis applications. We have successfully demonstrated this approach by establishing rigorously tuned 3D resist compact models. Those models combine the performance benefit of compact models, required for full chip mask synthesis applications, with the 3D modeling capabilities and predictivity of rigorous models. We have demonstrated that the rigorously tuned resist model can be carried to a different lithography process setup, e.g. a different illumination source without suffering any accuracy degradation. Those models can be deployed in downstream mask synthesis applications such as optical proximity correction or verification without further modifications. As an example, we have performed a 3D resist model assisted mask correction, using ILT, to mitigate potential post etch hotspotsThe concept of “rigorously tuned compact models” can be easily extended to address other simulation challenges, even beyond the litho process, as shown in FIGURE 6. In fact, it has already been used to improve mask topography simulation capabilities in compact models, or extend resist modeling properties to capture effects which are characteristic to negative tone development. We are currently working on utilizing TCAD physical etch simulation to tune etch compact models, which will take simulated 3D resist profiles as input. A combination of TCAD etch tools and rigorous litho simulation can be used to generate compact models which take underlying wafer topography into account.

FIGURE 6. Extending the concept of “rigorous tuning” to process simulation beyond traditional lithography.

FIGURE 6. Extending the concept of “rigorous tuning” to process simulation beyond traditional lithography.

References
1. Wen-Shiang Liao; A high aspect ratio Si-fin FinFET fabricated with 193nm scanner photolithography and thermal oxide hard mask etching techniques; Proc. SPIE 6156, Design and Process Integration for Microelectronic Manufacturing IV, 615612 (March 14, 2006).
2. Aravind Narayana Samy; Role of 3D photo-resist simulation for advanced technology nodes; Proc. SPIE. 8683, Optical Microlithography XXVI, 86831E. (April 12, 2013).
3. Mohamed Talbi; Three-dimensional physical photoresist model calibration and profile-based pattern verification; Proc. SPIE. 7640, Optical Microlithography XXIII, 76401D. (March
11, 2010).
4. Chandra Sarma; 3D physical modeling for patterning process development; Proc. SPIE. 7641, Design for Manufacturabil- ity through Design-Process Integration IV, 76410B. (March
11, 2010).
5. Seongho Moon; Fine calibration of physical resist models: the importance of Jones pupil, laser bandwidth, mask error and CD metrology for accurate modeling at advanced litho- graphic nodes; Proc. SPIE. 7973, Optical Microlithography XXIV, 79730X. (March 17, 2011).
6. Chandra Sarma; 3D lithography modeling for ground rule development; Proc. SPIE. 7973, Optical Microlithography XXIV, 797315. (March 17, 2011).
7. Yongfa Fan; 3D resist profile modeling for OPC applications; Proc. SPIE. 8683, Optical Microlithography XXVI, 868318. (April 12, 2013) doi: 10.1117/12.2011852.
8. Ulrich Klostermann; Calibration of physical resist models: methods, usability, and predictive power; J. Micro/Nanolith. MEMS MOEMS. 2009.
9. Cheng-En R. Wu; AF printability check with a full-chip 3D resist profile model; Proc. SPIE. 8880, Photomask Technol- ogy 2013.
10. Yongfa Fan; Improving 3D resist profile compact modeling by exploiting 3D resist physical mechanisms; Proc. SPIE. 9052, Optical Microlithography XXVII, 90520X. (March 31, 2014).

Silicon carbide is one of the most interesting semiconductor materials in electrical power components for energy savings. Components are already in use today in hybrid cars and solar power inverters. The high efficiency of these components minimizes energy loss and makes green power economically feasible.

A new company, Epiluvac AB, has entered the scene with the ambition to supply the needed deposition equipment.

Much of the pioneering research around silicon carbide was done at Linköping University in Sweden, where the highly successful hot-wall CVD reactor type was developed. This reactor type has been successfully used all over the world by the most prestigious labs, and is well known for its supreme qualities.

The new company, Epiluvac AB, will continue the development of this reactor type. The complete team at Epiluvac has many years of experience developing hot-wall systems.

Today, Sweden has a unique cluster of companies and universities in the forefront of silicon carbide technology. The hot-wall CVD reactor has been the workhorse in R&D labs all over the world, and a large number of scientific papers have been published around material grown in them.

“We are convinced that Epiluvac AB will be able to supply the best possible CVD tools to R&D labs around the world,” says Bo Hammarlund, managing director of Epiluvac AB. “The system design during three decades has proven to meet the high expectations of the best researchers around the world.”

“It is also our ambition to stay in close contact with our customers in order to customize the tools for the specific needs. We have a lot of experience in doing this. With the unique cluster of silicon carbide companies we have in Sweden, we are also able to pick up new demands at an early stage for not only SiC but also GaN, AlN, and graphene.”

The hot-wall reactors have already proven to be successful tools for producing graphene. Epiluvac is one partner in the Strategic Innovation graphene program led by Chalmers University, Gothenburg.

Epiluvac AB offices and manufacturing facilities are located in the Ideon Science Park, Lund, Sweden, close to Lund University and the multi-disciplinary research centers ESS and Max IV in one of the most exciting research regions in Europe.

GLOBALFOUNDRIES, a provider of advanced semiconductor manufacturing technology, today announced the “GLOBALFOUNDRIES Undergraduate Research Scholarship,” a new scholarship recognizing and rewarding aspiring, leadership-oriented engineering students interested in careers in the semiconductor industry.  The GLOBALFOUNDRIES Undergraduate Research Scholarship will fund undergraduate research opportunities (URO) and intern scholars through the Semiconductor Research Corporation’s (SRC) Education Alliance.

Presented at SRC’s annual TECHCON conference in Austin, Texas, the scholarship was created by GLOBALFOUNDRIES in partnership with SRC to recognize and reward science, technology and engineering students who demonstrate promise in their academic and professional efforts. The selected recipients of this scholarship will have the opportunity to interact with GLOBALFOUNDRIES researchers and access the professional resources of SRC and the SRC Education Alliance.

“Building a pipeline of highly skilled talent is essential to our business and to the competitiveness of the entire semiconductor industry,” said Suresh Venkatesan, senior vice president of technology development, GLOBALFOUNDRIES.  “SRC connects companies with the top universities, which results in exciting research and educational opportunities for the best and the brightest students. The GLOBALFOUNDRIES Undergraduate Research Scholarship gives us the opportunity to support science, technology, engineering and mathematics education and help develop the technical leaders who will continue to drive innovation in the semiconductor industry in the future.”

Until recently, SRC focused exclusively on students seeking advanced degrees, providing fellowships for them to do university research that had practical applications for corporate members of its unique consortium. The URO is SRC’s innovative program providing undergraduates with valuable research experience and mentoring. The goal of the URO is to empower bright, well-educated, and experienced scientists and engineers for which U.S. high-tech companies are seeking.

“Recognizing the critical importance of a strong pipeline of new talent for the semiconductor industry, the SRC Education Alliance through the URO Program provides financial assistance to undergraduates, allowing students and universities to recognize the connections between the materials they are learning in the classroom and the technological innovations that transform the world,” said SRC President Larry Sumney. “We are thrilled to collaborate with GLOBALFOUNDRIES as we continue to develop our URO program.”

Rising sophomores, juniors and seniors in an accredited undergraduate program majoring in the field of engineering are encouraged to apply.  Additional information about the scholarship can be obtained by visiting: www.src.org/program/srcea/uro/globalfoundries.

Every year, TECHCON brings together the brightest minds in microelectronics research to exchange news about the progress of new materials and processes created by SRC’s network of more than 100 of the top engineering universities. Students and industry leaders discuss basic research that is intended to accelerate advancements for both private and public entities.