Category Archives: CVD Equipment

67030

Understanding the impact of valve flow coefficient (Cv) in fluid systems for microelectronics manufacturing

BY STEPHANE DOMY, Saint-Gobain Performance Plastics,

When scaling up, or down, a high-purity liquid installation – many complex factors need to be considered from ensuring the integrity of the transported product to the cleanliness of the environment for both the safety of the process and the operator [1]. In my 15 years working in the semiconductor fluid handling component industry, I’ve learned that the Cv is a bit misunderstood. Given the Cv formula can be used for any flow component in a fluid line, most are familiar with it, yet few consider how it relates to their specific installation. Therefore, this article will focus on factors that pertain to achieving a specific flow performance and specifically the flow coefficient (Cv) as it relates to valves.

Cv empirical explanation and more

As we know, when working on a turbulent flow the Cv formula is: Cv= Q√(SG / ∆P) where Q is the flow going through the valve in gallons per minute (GPM), SG is the specific gravity of the fluid and ∆P is the pressure drop in PSI through the component. In the semiconductor industry, due to the low velocity of the transported fluid the high purity chemistry and slurries are mostly in a semi–turbulent state or a laminar state. Yet you’ll notice there is not a single link to the viscosity of the transported product in the Cv formula. This is significant given the viscosity directly impacts the Cv value when the flow is in a semi-turbulent or laminar mode. Consider that if you calculate the pressure drop in your system with the formula above you could end up with a result that is 4 to 5 times lower. No doubt this inaccuracy can cause significant issues in your installation.

To take this further, let’s analyze how pressure drop based on flow evolves through a valve by comparing a Saint-Gobain Furon® Q-Valve (1⁄2” inner flow path and 1⁄2” pipe connection) to a standard semiconductor industry valve of the same size. The Saint-Gobain valve, which meets the requirements of the semiconductor industry (metal free, 100% fluoropolymer flow path and so on), has a Cv of 3.5 – one of the best for its dimensions. To ease the calculation, we will use deionized (DI) water, which will free us of the specific gravity or impact of the viscosity if we are not in the right state.

As we can see on the graph in FIGURE 1, at a normal flow rate used in micro-e for 1⁄2” 5 to 10 lpm; the pressure drop difference between a standard valve and a Saint-Gobain valve is in the range of 0.1 to 0.3 PSI. At first glance, this does not appear to be much. However, let’s factor in a viscous product and that you have a number of these lines in your flow line — now the numbers start to accumulate. And by moving from a standard valve to a Saint-Gobain valve, as described above, you start to see a significant difference in pressure drop, which could occur across your installation. That being said, up to a certain limit (defined by another component in your installation, such as your pump pressure capability or some more delicate device) an “easy” counter is to increase the pressure through put of your pump but it is at the expense of wasting energy and adding the potential for additional shearing or particle generation in your critical fluid. Now that we have reviewed, the impact of the Cv on our flow and how this could impact our installation, let’s see what can potentially impact the Cv.

Screen Shot 2017-09-26 at 1.32.39 PM

Design impact on Cv and resulting trade-off

The first impact that may come to mind is a larger orifice – and it’s correct. The size of the orifice can benefit flow through and directly relates to the volume of your valve. However there are trade-offs for this improved Cv. The first is cost increase. A higher volume requires a larger valve, which can cost up to 50% more than the initial valve due to specific material and process requirements. Additionally, as highlighted in “Design Impact for Fluid Components” by increasing the size of the component (due to the specific micro-e material requirements), you could lose pressure rating performance [1]. Also when increasing the inner volume of your valve, you potentially increase volume retention as well as particle generation, given that using larger actuation systems results in more points of contact and creates a hub for generating particles. Another possible drawback is significant velocity loss, but that will have to be addressed in another article. The critical point to be taken here is the importance of choosing the right size orifice – too small and flow can be restricted too much and too big and you may end up paying for other problems.

Another potential impact to Cv is the difference in valve technology. Though there more, I’ll specifically cover stopcock/ball valves, weir style valves; and diaphragm valves. Other valve technologies, such as the butterfly valve, will not be discussed because their construction materials are generally not used for fluid handling components for the semiconductor industry.

Starting with the simplest design, the stopcock/ball valve provides by far the best Cv of the three technologies mentioned. Considering the premium Cv achieved, you would assume they are expensive. Instead they are generally the cheapest of the three values mentioned. One drawback in using stopcock valves is the need for a liquid oring on the fluid path which may create compatibility issues. The exception is the Furon® SCM Valve, a stopcock valve that employs a PFA on PTFE technology and allows for oring-free sealing. Additionally, stopcock valves can lower pressure/ temperature ratings and have a tendency to generate a great deal of particles when actuated. This occurs when the key or ball is rotating inside the valve body. Both drawbacks are related to the PTFE/PFA construction materials required for the flow path by the micro-e industry.

The weir style valve, if done properly, should provide a very good Cv – perhaps not as good as a stopcock/ball valve, but still very good. And although liquid orings are not an issue, these valves have other drawbacks. In a weir style valve the diaphragm is generally a sandwich structure consisting of a thin layer of PTFE that is backed by an elastomeric component in which a metal pin is embedded to connect the membrane to the valve actuating system. It is the sandwich materials that generate a number of potential issues when used on critical, high purity chemistry. Specifically, the delamination of the sandwich creates the possi- bility of multiple points of contamination to the liquid (metal & elastomer). In addition, the significant surface contact between the membrane and the valve seat, which is necessary to secure a full seal, generates a lot of particles – though significantly less than a stopcock/ball valve.

The diaphragm valve is the most commonly used valve in the semiconductor industry as it offers a great balance in terms of the issues previously identified: potential contami- nation, materials and particle generation. The trade-off is that the construction of these valves is more complex and as a result they are priced higher than the average cost of the other valves. Additionally, the Cv performance is well below a stopcock/ball valve and slightly below a weir style valve. However, by using Saint-Gobain’s patented rolling diaphragm technology this does not have to be an issue. In fact, with this technology, we can offer the equivalent Cv of a weir style valve in combination with premium pressure and temperature capabilities as well as the cleanest valve technology – all of which allows for a system design with the lowest impact possible on the transported fluid.

As demonstrated in this document, understanding the Cv rating and the impacts that could affect that rating as it relates to valves is critical when optimizing an installation for fluid and energy efficiency. Cost aside, there are a number of issues that are unique to the semiconductor industry that ultimately guide and often restrict installation choices, such as: dead volume, particle generation, cleanliness as well as the physical and mechanical properties of appropriate polymers. Additionally, choosing the appropriate valve for your installation goes far beyond the simple notion that if “I need more flow, I will get a larger valve.” Most likely the residual effect of that choice will affect the performance of the system, particularly regarding cleanliness. Instead critical adjustments to your valve actuation mechanism and valve flow path designs as well as to your valve technology may allow you to achieve the required results – even if the installation still uses the same 1⁄2” valve…but more on this point in another article.

References

1. www.processsystems.saint-gobain.com/sites/imdf.processsystems. com/files/2015-12-03-part-one-design-impact-for-fluid-components.pdf

By Pete Singer, Editor-in-Chief

N2O, or Nitrous Oxide, also known as laughing gas, is a weak anesthetic gas that has been in use since the late 18th century. Most people have experienced nitrous in the context of dentistry, but it’s also used to make whipped cream, in auto racing, deep sea diving, or – in the semiconductor industry — as the oxygen source for chemical vapor deposition (CVD) of silicon oxy-nitride (doped or undoped) or silicon dioxide, where it is used in conjunction with deposition gases such as silane. It’s also used in diffusion, rapid thermal processing and for process chamber treatments.

The problem – and why it’s no laughing matter – is that after CO2 and CH4, N2O is the 3rd most impactful man-induced greenhouse gas (GHG), accounting for 7% of emissions. According to the U.S. Environmental Protection Agency, 5% of U.S. N2O originates from industrial manufacturing, largely semiconductor manufacturing. “It’s very much of interest because of its high global warming potential, combined with its long atmospheric lifetime of over 100 years,” said Mike Czerniak Environmental Solutions Business Development Manager, Edwards. “After PFCs, this is one of the most impactful gases from semiconductor manufacturing.” With a TLV of 50ppm, N20 is also poses a health risk.

There are two ways to get rid of N2O: reducing and oxidizing. “Reducing means getting rid of the oxygen in it so you just drive it down to be nitrogen, or you can oxidize it and add additional oxygen to it,” Czerniak explained.

Oxidizing is the easier approach in that it involves putting the gas through an ordinary flame. “The problem with doing this is you then make nitrogen oxides, NOx, and that generally is very bad because that’s the gas that’s the acid rain contributor and it also does nasty things to people,” Czerniak said. When NOx and volatile organic compounds (VOCs) react in the presence of sunlight, they form photochemical smog, a significant form of air pollution, especially in the summer. “If you do make NOx, then you probably want to do some additional treatment to try and get rid of the NOx that you’ve generated,” Czerniak said.

Reduction, therefore, is preferable. N2O can be catalytically reduced to H20 + N2. A reducing flame can be used in a combustor; this requires the presence of a reducing agent, such as methane (a commonly used fuel gas) or even a hydrogen-containing process gas such as silane. “You can avoid forming NOx if you use low temperatures, moderate amounts of oxygen, and you add a reducing agent like methane,” Czerniak said.

Edwards presently offers the Atlas series of inward-fired combustion gas abatement solutions. Atlas systems have low fuel consumption compared with previous-generation gas abatement devices and utilize proven Alzeta inward-fired combustor technology to achieve significantly reduced costs of ownership. With one to six inlets with a number of options, including a temperature management system (TMS), they can reach a flow capacity of up to 600 slm and they offer enhanced ease-of-use and more efficient maintenance.

After CO2 and CH4, N2O is the 3rd most impactful man-induced greenhouse gas (GHG). Source: Climate Analysis Indicators Tool, World Resources Institute.

After CO2 and CH4, N2O is the 3rd most impactful man-induced greenhouse gas (GHG). Source: Climate Analysis Indicators Tool, World Resources Institute.

Veeco Instruments Inc. announced today the launch of the new TurboDisc K475i Arsenic Phosphide (As/P) Metal Organic Chemical Vapor Deposition (MOCVD) System for the production of red, orange, yellow (R/O/Y) light emitting diodes (LEDs), as well as multi-junction III-V solar cells, laser diodes and transistors.

“Veeco continues to drive innovation with MOCVD technology that enables us to lower manufacturing costs and increase production with systems that are reliable, flexible and easy to use,” said Shuangxiang Zhang, General Manager of Yangzhou Changelight Co., Ltd.

According to research firm Strategies Unlimited, R/O/Y LED demand is expected to grow at a 10 percent compound annual rate through 2023. This demand for red, orange and yellow LEDs is being driven by signage, automotive, display and general lighting applications, as well as the emergence of new applications such as wearable smart devices.

Incorporating proprietary TurboDisc and Uniform FlowFlange MOCVD technologies, the new K475i system enables Veeco customers to reduce LED cost per wafer by up to 20 percent compared to alternative systems through higher productivity, best-in-class yields and reduced operating expenses.

Veeco’s proprietary Uniform FlowFlange technology produces films with very high uniformity and improved within-wafer and wafer-to-wafer repeatability resulting in the industry’s lowest cost of ownership. This patented technology provides ease-of-tuning for fast process optimization and fast tool recovery time after maintenance enabling the highest productivity for applications such as lighting, display, solar, laser diodes, pseudomorphic high electron mobility transistors (pHEMTs) and heterojunction bipolar transistors (HBTs).

Veeco Instruments Inc., a supplier of metal organic chemical vapor deposition (MOCVD) systems, announced today that it has signed a joint development project (JDP) agreement with imec, the Belgium-based nano-electronics research center. The collaboration is expected to accelerate the development of highly-efficient, Gallium Nitride (GaN) based, power electronic devices using GaN Epi wafers created using Veeco’s Propel Power GaN MOCVD system.

Imec has already demonstrated significant gains in GaN layer uniformity and run-to-run repeatability with Veeco’s Propel system, resulting in significantly improved power device yields. The single wafer reactor incorporates Veeco’s proprietary TurboDisc technology that delivers superior film uniformity, run-to-run control and defect levels compared to batch reactors.

“Within the framework of our industrial affiliation program on GaN-on-Si, Veeco and imec have collaborated over the last four years to improve the Epi quality of GaN layers deposited on silicon wafer substrates,” said Rudi Cartuyvels, Senior Vice President Smart Systems and Energy Technologies at imec. “The ultimate goal is to produce the next generation of highly efficient power switching devices. We have set very high GaN device yield and reliability targets for 2016 and we look forward to partnering with Veeco to achieve these targets.”

According to IHS research, industry requirements are growing and requiring smaller, more energy efficient power ICs. This, in turn, is driving the need for improved power devices using advanced materials. GaN-on-Si coupled with improved process solutions, such as single-wafer GaN MOCVD, are critical to the development of these improved power devices.

“We are very pleased with our imec collaboration,” said Jim Jenson, Senior Vice President and General Manager, Veeco MOCVD Operations. “Global demand for advanced power electronics with greater energy efficiency, a smaller form factor and greater reliability is rapidly accelerating. We believe that the technology in our Propel single wafer system will enable imec to achieve their power device targets and help to bring these advanced devices to market faster.”

Nova Measuring Instruments, a provider of metrology solutions for advanced process control used in semiconductor manufacturing, announced today that a leading foundry recently selected Nova’s optical and X-ray metrology solutions for multiple process steps, including CMP, Etch and Deposition, for its most advanced technology nodes. The selection by this major foundry in Asia covers metrology solutions for process control in production in 10nm and 7nm technology nodes and metrology solutions for R&D process development in 5nm technology node. As part of this selection, Nova has already started to deliver integrated and stand-alone platforms during the fourth quarter of 2015 and will continue to support the ramp up for these advanced technology nodes during the coming years.

Nova’s solutions were selected due to superior metrology performance, high productivity and technology extendibility, to handle the future challenges of critical dimension (CD) and materials measurements of 3D FinFET gates in sub 10nm technology nodes. The selection was made following an intensive evaluation and includes Nova’s most advanced product suite of integrated and stand-alone metrology systems, offering unique and advanced measurement capabilities, enhanced with NovaMARS innovative modeling software. Nova’s comprehensive solution demonstrated best-in-class technology offering, combined with enhanced productivity capabilities and long term extendibility.

“This selection by the world’s leading foundry, following a comprehensive evaluation, represents a powerful vote of confidence in our metrology portfolio for the most advanced technology nodes,” stated Eitan Oppenhaim, Nova’s President and CEO. “This selection is another proof point for our industry leadership, as well as our strong position in the foundry segment, and validates our expectations for extensive growth in metrology intensity in the advanced nodes. I am gratified that we were able to demonstrate, once again, the value of our disruptive innovation, which matches aggressively to our customer’s roadmap and provides a great support for our growth plans in the coming years.”

Oppenhaim concluded, “We are reaffirming our revenue guidance for the fourth quarter of the year, representing record top-line results in 2015.”

The company revenue guidance for the fourth quarter of 2015 is $37 million to $41 million, which implies to $145.5 million to $149.5 million in annual revenues in 2015.

CVD Source Materials


December 17, 2015

Reaction materials for chemical vapor deposition (CVD) and atomic layer deposition (ALD) are typically delivered into the chamber in a gaseous form. CVD polycrystalline silicon, for example, is deposited from trichlorosilane (SiHCl3) or silane (SiH4), using the following reactions:

SiH3Cl → Si + H2 + HCl
SiH4 → Si + 2 H2

This reaction is usually performed in LPCVD systems, with either pure silane feedstock, or a solution of silane with 70–80% nitrogen. Polysilicon may be grown directly with doping, if gases such as phosphine, arsine or diborane are added to the CVD chamber.

Silicon dioxide (usually called simply “oxide” in the semiconductor industry) may be deposited by several different processes. Common source gases include silane and oxygen, dichlorosilane (SiCl2H2) and nitrous oxide (N2O), or tetraethylorthosilicate (TEOS; Si(OC2H5)4). The reactions are as follows:

SiH4 + O2 → SiO2 + 2 H2
SiCl2H2 + 2 N2O → SiO2 + 2 N2 + 2 HCl
Si(OC2H5)4 → SiO2 + byproducts

CVD source materials are typically gases, such as silane and nitrogen, but can also be liquids: There are now a larger variety of liquid sources used in the semiconductor, FPD and PV manufacturing processes.

CVD Sources

The graph above shows the different possible states of matter. There are two ways to get from a liquid to a gaseous state. The first method involves increasing the temperature while holding the pressure steady, as indicated by the arrow with the broken line. This method is commonly used in everyday settings—to boil water and convert it to steam, for example. Heating a liquid takes time, however, which makes rapid vaporization difficult. On the other hand, one can also heat the liquid in advance and then abruptly reduce the pressure, as illustrated by the arrow with the solid line. The pressure in the vaporization section of the injector can be reduced instantaneously, and this makes it possible to vaporize a liquid source instantaneously.

Chemical Vapor Deposition


December 11, 2015

Chemical vapor deposition (CVD) is used to produce high-purity thin films. In a typical CVD process, the wafer (substrate) is exposed to one or more volatile precursors, which react and/or decompose on the substrate surface to produce the desired deposit. Frequently, volatile byproducts are also produced, which are removed by gas flow through the reaction chamber.

Microfabrication processes widely use CVD to deposit materials in various forms, including: monocrystalline, polycrystalline, amorphous, and epitaxial. These materials include: silicon, carbon fiber, filaments, carbon nanotubes, SiO2, silicon-germanium, tungsten, silicon carbide, silicon nitride, silicon oxynitride, titanium nitride, and various high-k dielectrics. The CVD process is also used to produce synthetic diamonds.

Applications include shallow-trench isolation, pre-metal dielectric, inter-metal dielectric, and passivation. CVD processes are also important in strain engineering that uses compressive or tensile stress films to enhance transistor performance through improved conductivity.

Additional Reading

Taking 2D materials from lab to fab, and to technology

New materials require new approaches

Deposition equipment market witnesses a year of significant change

By Jeff Dorsch, Contributing Editor

There are four main segments in the thin-layer deposition equipment market – atomic layer deposition, chemical vapor deposition, epitaxy, and physical vapor deposition, also known as sputtering.

As the semiconductor industry powers through the 14-nanometer process generation, interest is keen on how researchers and suppliers will improve the current crop of deposition equipment to meet the requirements of the 10nm and 7 nm nodes.

The long-pending merger of Applied Materials and Tokyo Electron into a company to be called Eteris, called off in April due to regulatory issues, would have created a mighty deposition vendor, holding nearly 60% of the worldwide market. Applied still holds a commanding share of the deposition market, yet will have to contend with Lam Research (which acquired Novellus Systems in 2012), AIXTRON, ASM International, and other competitors.

Global Industry Analysts (GIA) forecasts the global deposition equipment market will hit $13.6 billion by 2020. Atomic layer deposition (ALD) will be the fastest growing segment, with a compound annual growth rate of 19.9 percent, the market research firm estimates.

Chemical vapor deposition (CVD) will be the second largest deposition segment through the end of this decade, followed by physical vapor deposition (PVD) and epitaxy, according to GIA. Japanese vendors, namely Hitachi Kokusai Electric/Kokusai Semiconductor Equipment and Tokyo Electron, dominate the worldwide CVD market, with significant market shares held by Applied Materials, ASM International, and Lam Research, the market research firm states.

Taiwan is the world’s largest market for deposition equipment, Global Industry Analysts says. That’s not surprising, since SEMI estimates that Taiwanese semiconductor manufacturers will spend about $10.5 billion on wafer fabrication equipment this year, representing nearly 30 percent of worldwide spending on fab equipment in 2015. GIA sees China being among the fastest-growing markets for deposition, with a CAGR of 15.1 percent.

In May, Applied Materials introduced the Applied Endura Cirrus HTX PVD system for making titanium nitride hardmask films, targeting applications in fabricating semiconductors with 10nm and 7nm features.

A year ago at SEMICON West, the company debuted the Applied Producer XP Precision CVD system, which it said supports the industry transition to 3D NAND flash memory devices by providing nanometer-level layer-to-layer film thickness control for critical-dimension uniformity across a wafer.

July of 2014 also saw Lam Research unveil its VECTOR ALD Oxide system to produce conformal dielectric films defining critical pattern dimensions in multiple patterning.

SEMICON West 2015 is expected to see announcements on new products and research in the deposition equipment field.