Category Archives: Resource Guide

Applied Materials today announced the Applied Centura Tetra Z Photomask Etch system for etching next-generation optical lithographic photomasks needed by the industry to continue multiple patterning scaling to the 10nm node and beyond. The new tool extends the capabilities of Applied’s Tetra platform, delivering angstrom-level photomask accuracy for critical dimension (CD) parameters required to meet stringent patterning specifications for future logic and memory devices.

“Our Tetra Z system represents the state of the art in photomask etch technology, employing advances in precision materials engineering and plasma reaction kinetics to extend the use of 193nm lithography,” said Rao Yalamanchili, general manager of Applied’s Mask Etch product division. “Using the 193nm wavelength to produce 10nm or 7nm patterns requires a range of optimization techniques, including immersion and multiple patterning, which rely heavily on photomasks. Etch technology is key for photomask fabrication; the Tetra Z system is unique in delivering the accuracy required to etch next-generation optical photomasks for patterning advanced node designs.”

Applied developed the Tetra Z tool for advanced chrome, molybdenum silicon oxynitride (MoSi), hard mask and quartz (fused silica) etch applications used to fabricate advanced binary and phase-shift masks (PSMs). Offering continuous technical innovations and unprecedented CD performance, the system extends immersion lithography for quadruple patterning and cutting-edge resolution enhancement techniques. Vital capabilities ensuring pattern transfer fidelity include uniform, linear precision etching across all feature sizes and pattern densities with virtually zero defectivity.

Excellent CD performance combined with high etch selectivity enable the use of thinner resist films for achieving smaller photomask CD patterns on critical device layers. Controllable CD bias capability expands the system’s flexibility to meet customer specific requirements. Unique quartz etch depth control ensures precision phase angle and aids integrated circuit scaling by providing customers the capability to use alternating aperture PSMs and chromeless phase lithography. These key advances derive from a variety of system improvements in chamber design, plasma stability, ion and radical control, flow and pressure control, and real-time process monitoring and control.

Applied’s Tetra systems have been selected by a majority of mask makers worldwide to etch high-end photomasks over the past decade.

Applied Materials, Inc. is a developer of precision materials engineering solutions for the semiconductor, flat panel display and solar photovoltaic industries.

centura tetra z

MKS Instruments, Inc., a global provider of technologies that enable advanced processes and improve productivity, has introduced the I-250, I-500 and I-1000 Mass Flow Controllers. These products extend MKS’ I-Series full scale flow rate capabilities to 250, 500 and 1000 slm, respectively, and provide mass flow control for large scale production processes such as in Biopharm, Heat Treatment and Spray Coating. Available as both MFCs and MFMs, the IP66-rated I-250, I-500 and I-1000 are reliable, cost effective solutions for today’s most rigorous industrial applications. Simultaneous to this release, MKS is also releasing a G-Series version of the 250 slm product, the G-250.

The I-250, I-500 and I-1000 incorporate the latest digital mass flow control electronics; a proven, patented thermal sensor and mechanical design provide 1% of setpoint accuracy and precise control for full scale flow rates from 100 to 250 slm (I-250), 250 to 500 slm (I-500) and 500 to 1000 slm (I-1000). Multi-gas/multi-range capability is enabled through the onboard Ethernet interface and allows the user to change the gas and range of the instrument, reducing inventory requirements. The I-250, I-500 and I-1000 also feature the ability to convert flow measurements to the user’s unit of choice (e.g., slm, scfh, kg/hr.).

With the release of these products, the MKS I-Series mass flow products now provide customers with flow rate control capabilities extending from less than 1 sccm up to 1000 slm. Designed for use in harsh environments where resistance to liquid or dust ingress is essential, these elastomer-sealed instruments are available with analog I/O (0 to 5 VDC or 4 to 20 mA), with digital I/O soon to follow.

mks-iseries-mass flow

Design features that contributed most to the improved performance include increased rotational speed, integrated rotor sleeves, and increased purge injection temperature.

BY MIKE BOGER, Edwards Vacuum, Tokyo, Japan

The use of high-k dielectric films deposited through atomic layer deposition, primarily in batch furnaces, has intensified, particularly in the manufacture of memory devices and high-k metal gates (HKMG) in logic devices. ALD uses a sequential purge and injection of the precursor gases to generate slow, but accurate growth of the films one atomic layer at a time. One of the precusors is typically a metal organic compound from a liquid source, commonly zirconium or hafnium-containing materials, followed by ozone to create the high-k film.

Wafers are usually processed in a furnace with batch sizes of 200 or more wafers. Reliability of the vacuum system is imperative to prevent contamination and consequent scrapping of the wafers. Unexpected failures can cause significant loss of work in process and process downtime. For example, if the vacuum pump seizes suddenly due to internal contamination by process by-products, the pressure in the pipe between the vacuum and furnaces rises, and there is a risk that powder deposited in the pipe will flow back into the furnace. This powder can not only contaminate wafers in the furnace, but also force a time-consuming clean-up that may remove the furnace from operation for a day or more.

The challenge

The mean-time-between-service (MTBS) for a vacuum pump used in semiconductor manufacturing varies greatly depending on the particular process it supports and the design of the pump. For the ALD processes considered here most failures caused process by-products can be grouped into four categories.

  • Corrosion – Attack on the metal components of the pump results in the opening of clearances leading to loss of base vacuum. Depending on the location of corrosion, the oxidation of the metal may actually generate powder that can cause seizure of rotating elements.
  • Plating – The deposition of metal compounds on the surface of internal components fouls internal mechanism clearances, causing the pump to seize.
  • Powder ingestion – Powder that enters the pump can jam rotating elements, leading to seizure.
  • Condensation – Compounds in the pumped gas stream transition from a gaseous to a solid phase within the pump, depositing on internal surfaces and eventually leading to loss of clearance and seizure.

Monitoring of pump operating conditions, such as input power, current, and running temperature, can provide an indication of the health of the pump. Events that lead to failure are generally gradual in nature. Advance notice periods can be measured in days. However, failures of vacuum pumps on high-k ALD processes often happen suddenly with little to no indication of distress prior to seizure.

A typical example of a vacuum pump used on a high-k ALD process is shown in FIGURE 1. This pump was used in a full production environment and consisted of a 1,800 m3h-1 mechanical booster mounted above a 160 m3h-1 dry pump. In this case, the pump exhibited a strong spike in running power, approximately 20 times normal, and was immediately removed for inspection. Significant deposition is evident in the booster (Fig. 1 left) and also in the last stage of the dry pump (Fig. 1 right). Evidence of the loss of clearance that caused the spike in input power is observed as a shiny area on the rotor lobe. In operation this pump was exposed to TEMAH (hafnium-containing liquid precursor), TMA (aluminum-containing liquid precursor), and ozone for producing HfO2 and TMA Al2O3. It was exchanged after 1,200 hours of use.

ALD 1-A ALD 1-B

 

FIGURE 1. A picture of a disassembled pump after 1,200 hours of use on a high-k ALD process showing the deposition in the booster (left) and loss of clearance in the last stage of the dry pump (right). 

FIGURE 2 provides another example of a pump that was removed due to detection of a spike in input current. In this case, the booster, second stage, and final stage of the pump are shown. Although the process was nominally the same (deposition of HfO2 and Al2O3), the deposition pattern is different. In this case, the booster and early stages of the dry pump show signs of a thin coating of a material that exhibits a green iridescent sheen. The final stage of the pump has a brown powder accumulation, but of a lighter color than that shown in Fig. 1.

FIGURE 2. Pictures of a disassembled pump that was removed for inspection after only 457 hours due to a large current spike detected during operation. In order, the pictures show the booster, second stage of the dry pump, and the final stage of the dry pump.

FIGURE 2. Pictures of a disassembled pump that was removed for inspection after only 457 hours due to a large current spike detected during operation. In order, the pictures show the booster, second stage of the dry pump, and the final stage of the dry pump.

In both of the examples shown in Figs. 1 and 2, the service interval of the pump was short and below the user’s expectations. In these cases, which are representative of all the pumps used on this process, the user was forced to exchange pumps frequently to minimize the risk of wafer loss. Other customers had similar experiences. TABLE 1 lists the films deposited and the preventative maintenance service intervals implemented by four customers. Analysis of serviced pumps suggested that processes depositing zirconium oxide were more challenging for the pump.

Screen Shot 2015-02-10 at 5.30.54 PM

Analysis

To better understand the reliability improvement challenge, a sample of the deposited material from a failed pump was analyzed. The results of the analysis, shown in FIGURE 3, revealed deposits rich in carbon and metal oxides, consistent with metal-organic precursors. The rate of oxide deposition appeared to be higher than that which would occur through pure ALD mechanisms, suggesting some chemical vapor deposition (CVD) or decomposition of the gases being pumped.

FIGURE 3. Analysis of the deposition within a failed pump showing hafnium, oxygen, and carbon components.

FIGURE 3. Analysis of the deposition within a failed pump showing hafnium, oxygen, and carbon components.

A survey of literature [1], [2], [3], [4] revealed that the typical reactants used in high-k ALD can react at high pressure and at low temperature without the need for external energetic activation. This suggests that even if there were no CVD or decomposition of gases within the pump, ALD-like films can still be deposited on the internal surfaces of the pump.

A simulation of the vapor pressure of TEMAH (one of the precursors used) within the pump was conducted, assuming a mass flow rate of 0.2 mg min−1 for TEMAH. The simulation results were compared to the measured vapor pressure of TEMAH to determine if there was any risk of TEMAH condensing within the vacuum pump. The results, shown in FIGURE 4, suggest that there are sufficient safety margins in the actual conditions. The TEMAH will stay in vapor form while it travels through the pump, even if the actual flow varied by an order of magnitude from that assumed. Moreover, the pump temperature could be reduced substantially without risk of condensing TEMAH within the pump.

FIGURE 4. Vapor pressure of TEMAH (0.2 mg/min with 14 slm of nitrogen) and simulated vapor pressure of TEMAH in the dry pump, inlet to outlet.

FIGURE 4. Vapor pressure of TEMAH (0.2 mg/min with 14 slm of nitrogen) and simulated vapor pressure of TEMAH in the dry pump, inlet to outlet.

A number of pumps were inspected, a large majority of which were pumps exchanged prior to seizure. Unfortunately, although powder was evident in the final stages of all pumps, not all pumps had powders of the same color. Moreover, as seen in the middle photograph of Fig. 2, some pumps and boosters were relatively clean exhibiting just a green sheen of deposition.

None of the observations, other than powder in the final stage of the dry pump, were consistently repeatable, suggesting that factors upstream of the pump were also contributing to short service intervals. Powder loading varied between pumps and within the pumps, although the heaviest deposition was always located in the final stages of the dry pump. It is normal for the most deposition to occur near the exhaust of the pump because of the generally increased temperature of the exhaust gas and the increase in vapor pressure of the materials being pumped.

A diagram of the dry pump stages from inlet to outlet is shown in FIGURE 5, where the sleeves are also shown. Consistently, the final stage shaft sleeve, which is located between the 4th and 5th stage of the pump, was the weakest link in the design. Deposition would collect on the sleeve’s surface. Resulting friction between the sleeve and the stator would cause the components to heat, expand, and finally seize the pump.

FIGURE 5. Schematic of the dry pump mechanism showing inlet (1st stage) to outlet (5th stage). Rotor sleeves are shown in green.

FIGURE 5. Schematic of the dry pump mechanism showing inlet (1st stage) to outlet (5th stage). Rotor sleeves are shown in green.

FIGURE 6 shows the sleeves from between three stages of a pump exchanged for service. Another example is shown in the right side picture of Fig. 1. The sleeves are steel with a PTFE coating, giving them a green color. Evidence of the deposition is clear in the shaft sleeves on the right side of the picture.

FIGURE 6. Picture of sleeves in an exchanged pump showing deposition on the outer surfaces.

FIGURE 6. Picture of sleeves in an exchanged pump showing deposition on the outer surfaces.

Extending pump service intervals

Inconsistencies in powder deposition that suggested variations in upstream conditions were ultimately traced to condensation in the gas lines to the process chamber. The amount of condensed liquid and the length of the flow step in the ALD cycle affected the amount of deposition. When the user took care to avoid condensation, a much more consistent pattern of deposition was observed within the pump.

For any particular dry pump, the two most convenient elements that can be adjusted are the nitrogen purge and the temperature of the pump. Adding purge, or changing the location of the purge, can affect the partial pressure of the gases being pumped. Purge can also affect the temperature of the gas being pumped. In this case the purge flow was already 76 slm and further increase could have affected the downstream gas abatement device.

Experiments to extend the MTBS focused on the pump running temperature. Temperature changes within the pump can dramatically affect the propensity of the pumped gases to condense on the internal surfaces of the pump as well as the rate of reactions of any gases being pumped. However, varying the pump temperature from 140°C to nearly 180°C made any appreciable change to the service interval.

Finally, two pumps with designs that differed significantly from the original pump were evaluated. Additionally, new pump A provided significantly greater capacity at higher inlet pressures than new pump B, at the expense of greater power consumption. The results are shown in TABLE 2.

Screen Shot 2015-02-10 at 5.32.47 PM

New Pump A was initially installed with a temperature set point of 130°C. It was removed after six months for inspection prior to failure. New Pump B was tested with a temperature set point of 110°C. It was removed after six months prior to failure. A comparison of the internal condition of the Original Pump and New Pump B is shown in FIGURE 7.

FIGURE 7. Pictures comparing the third stage of the original pump and New Pump B showing the different deposition patterns.

FIGURE 7. Pictures comparing the third stage of the original pump and New Pump B showing the different deposition patterns.

Four differences in the new pump design are believed to have contributed to improved reliability:

  • 180% increase in rotational speed (180%) resulting in less residence time of the pumped gases.
  • Reduced operating temperature. Although many semiconductor processes benefit from a hot pump, this ALD process does not.
  • No rotor sleeves. The rotor sleeve in the new pumps was integrated with the rotor element itself. This not only removed the necessity for a coating, but appeared to strengthen the mechanism.
  • Heated purge. The purge in the new pumps is warmed to within 95% of the stator temperature to prevent cooling effects and reduce the chance of spontaneous condensation of gases.

Subsequent experience with a large number of pumps and customers has confirmed the advantages provided by the new pump design. New pump B is the recommended pump for this application with fixed service intervals varying between 4 and 6 months depending on the specific characteristics of the process supported.

Conclusions

Deposition of high-k materials using ALD is a widely used technique for today’s transistor and memory structures. At early introduction of the process in high volume manufacturing, pump reliability became a key concern. Careful analysis and cooperation with customers resulted in extending the service interval of the pumps from one to up to six months, an achievement that significantly reduced operating expenses and production losses due to wafer contamination and equipment downtime caused by unexpected pump failures. Analysis of the pump condition and test results showed that, more than temperature or purge, a different pump design provided the greatest improvement in service intervals. Design features that contributed most to the improved performance include increased rotational speed, integrated rotor sleeves, and increased purge injection temperature.

References

1. J. M. et al., “Impact of Hf-precursor choice on scaling and performance of high-k gate dielectrics hf-based high-k materials,” ECSTrans., p. 59, 2007.
2. X. L. et al., “Ald of hafnium oxide thin films from tetrakis (ethylmethylamino) hafnium and ozone,” J. of ECS, vol. 152, 2005.
3. H. Furuya, “Formation of metal oxide film,” Sep 2008, patent application: US20080226820 A1.
4. Y. S. et al., “Atomic layer deposition of hafnium oxide and hafnium silicate thin films using liquid precursors and ozone,” J. Vac. Sci. Tech. A, vol. 22, 2004.

LSA technology plays an enabling role to overcoming manufacturing challenges for sub-20nm logic devices. 

By YUN WANG, Ph.D., Ultratech, San Jose, CA

Sub-20nm system-on-chip and FinFET devices have specific manufacturing challenges that can be resolved with laser spike annealing (LSA) technology. Over the last decade, new process technologies and materials have emerged, such as strained silicon, high-k/metal gate (HKMG) and advanced silicide. Meanwhile transistor structures have evolved significantly, from bulk planar and PDSOI to 3D FinFET. With dimensions approaching atomic scales, the need for low thermal budget processes offered by millisecond annealing (MSA) becomes more important to precisely control the impurity profiles and engineer interfaces. This article will explain how LSA technology plays an enabling role to overcoming manufacturing challenges for sub-20nm logic devices.

LSA and MSA

The European semiconductor equipment market is expected to grow along with the world market. Global capital spending on semiconductor equipment is projected to grow 21.1 percent in 2014 and 21.0 percent in 2015. According to the August edition of the SEMI World Fab Forecast, semiconductor equipment spending will increase from $29 billion in 2013 to $42 billion in 2015.

In this article the terms LSA and MSA are used interchangeably. MSA can be implemented either by a scanning laser or a bank of flash lamps (FIGURE 1). In both cases, a reduced volume of substrate is heated to high temperature by a powerful light source, which results in fast temperature ramping compared to conventional RTP. Surface cooling in the millisecond time scale is dominated by conductive heat dissipation through the lower temperature substrate, which is several orders of magnitude faster than radiation heat loss or convection cooling through surfaces. The wafer backside is typically heated by a hot chuck or lamps to reduce the front surface peak temperature jump, and in some cases, to reduce the flash lamp power requirement or facilitate laser light absorption. Flash usually requires higher backside heating temperature than the laser option.

FIGURE 1. Simulated temperature distribution in silicon substrate by millisecond nonmelt scanning laser (left) and flash lamp heating (right).

FIGURE 1. Simulated temperature distribution in silicon substrate by millisecond nonmelt scanning laser (left) and flash lamp heating (right).

There are important differences between flash and laser approaches. The flash system provides global heating where the top surface of the entire wafer is heated at the same time. Hence heat dissipation occurs only in one dimension (1D – vertical direction). In addition, the backside needs to be floated to relieve the stress caused by global wafer bending due to the vertical thermal gradient. The laser system, on the other hand, provides localized heating around the scanning beam. The heat dissipation is between two-dimensional (2D) and three-dimensional (3D) (2D for an infinitely long line beam, and 3D for a point source). Since the thermal stress is localized, the backside can be chucked to facilitate heat sinking.

The difference in heat dissipation has a significant impact on the cooling rate, in particular, when long annealing or high intermediate (preheat) temperature is used. FIGURE 2 compares the temperature (T) profiles between laser and flash systems for the same peak surface temperature (Tpk) and dwell time (tdwell— defined as the full-width-half-maximum duration when a fixed point on the wafer sees the laser beam or flash pulse). The latter shows much slower ramp down. This is because once the flash energy is dissipated through the wafer thickness, the cooling is limited by the same radiation loss mechanism as in RTP. For applications relying on non-equilibrium dopant activation, the extra thermal budget due to the slow ramp down could be a concern for deactivation.

FIGURE 2. Comparison of simulated temperature profiles between long dwell laser and flash annealing. Tpk = 1200°C, dwell time = 10ms, preheat T = 800°C for flash. Inset shows details magnified around peak temperature.

FIGURE 2. Comparison of simulated temperature profiles between long dwell laser and flash annealing. Tpk = 1200°C, dwell time = 10ms, preheat T = 800°C for flash. Inset shows details magnified around peak temperature.

LSA technology uses a long wavelength p-polarized CO2 laser with Brewster angle incidence. Previous studies have shown that such configuration has benefits of reduced pattern density effect compared to short wavelength with near normal incidence. A second beam can be added to form a dual beam system that allows more flexibility to adjust the temperature profiles, and expands the process capability to low T and long dwell time.

FIGURE 3 shows different LSA annealing temperature-time (T-t) regimes that can be used to meet various application needs. Standard LSA used in front-end applications has Tpk ranging from 1050~1350°C and tdwell from 0.2~2ms. Short dwell time is beneficial for reducing wafer warpage and litho misalignment, especially for devices with high strain. Long dwell time (2~40ms) adds more thermal budget for defect curing. It can also be used to improve activation and fine tune the junction depth. The low T regime enables applications that require lower substrate and peak annealing temperatures, such as annealing of advanced silicide or new channel/gate stack materials that have poor thermal stability.

FIGURE 3. LSA extended process space. For comparison, T-t regimes of conventional RTA and nanosecond melt laser annealing are also shown.

FIGURE 3. LSA extended process space. For comparison, T-t regimes of conventional RTA and nanosecond melt laser annealing are also shown.

High-k/metal gate (HKMG)

The impact of MSA on HKMG is thinner equivalent oxide thickness (EOT) due to reduced interfacial layer growth from a lower thermal budget. Lower leakage and better surface morphology are also observed in hafnium-based, high-k films when annealed by a laser.

Incorporating nitrogen into a high-k dielectric film can improve thermal stability, reliability, and EOT scaling. Post nitridation anneal with MSA provides opportunities to stabilize the film with a more precisely controlled nitrogen profile, which is important since excessive nitrogen diffusion can increase interface trap and leakage. Oxygen has a strong impact on the characteristics of HKMG and it is important to control the ambient environment during the gate annealing. Full ambient control capability has been developed for LSA to accommodate this need. FIGURE 4 shows the schematics of our patented micro-chamber approach that allows ambient control to be implemented in a scanning system using non-contact gas bearing. Different process gas can be introduced to accommodate various annealing and material engineering needs.

FIGURE 4. LSA extended process space. For comparison, T-t regimes of conventional RTA and nanosecond melt laser annealing are also shown.

FIGURE 4. LSA extended process space. For comparison, T-t regimes of conventional RTA and nanosecond melt laser annealing are also shown.

Advanced silicide

Conventional NiSi processing involves two RTA steps. The 1st RTA (200~300°C) forms Ni-rich silicide, and the 2nd RTA (400~500°C) after selective etch of un-reacted Ni forms the desired low resistance NiSi phase. By replacing the 2nd RTA with a high temperature MSA (700~900°C), it can reduce leakage as well as improve performance. The improvement in leakage distribution results from the statistical reduction of Ni pipe defects due to the low thermal budget of MSA.

High temperature promotes phase mixing of Si-rich Ni silicide at the silicide/Si interface and lowers Schottky barrier height (SBH). In conventional RTA, this requires T > 750°C; such high T would lead to morphology degradation, excess diffusion, and higher resistivity. With MSA, because of the short duration, agglomeration does not occur until ~900°C.

To maximize the performance gain, anneal at high T close to the agglomeration threshold is desired. In such a case, minimizing within-die pattern effects and implementing within-wafer and wafer to-wafer temperature control becomes very important.

FinFETs

As FinFETs shrink, interface contact resistance, Rc, becomes more critical (FIGURE 5). A promising path to lower Rc is interface engineering by dopant segregation using pre or post silicide implantation.

FIGURE 5. Parasitic resistance components for different nodes of FinFET, calculated using an analytical model.   of 10-8  -cm2 is used.

FIGURE 5. Parasitic resistance components for different nodes of FinFET, calculated using an analytical model. of 10-8 -cm2 is used.

FIGURE 6. SIMS profiles of Ga-doped (left) p+/n and As-doped (right) n+/p Ge junctions annealed by LSA. For Ga, no diffusion is observed. For As, concentration enhanced diffusion is observed but can be reduced with short dwell time.

FIGURE 6. SIMS profiles of Ga-doped (left) p+/n and As-doped (right) n+/p Ge junctions annealed by LSA. For Ga, no diffusion is observed. For As, concentration enhanced diffusion is observed but can be reduced with short dwell time.

 

Thermal annealing is necessary to repair implant damage and activate dopants in pre silicide implantation scheme, and to drive-in dopants in post silicide case. Using MSA instead of RTA results in more precise dopant profile control, higher dopant concentration at the interface and less potential silicide defectivity, due to the lower thermal budget.

Recently, Ti re-emerged as an option for contact metal because of better thermal stability and potential lower SBH. LSA can be applied to form low Rc Ti/Si contact. In advanced FinFET flow where contacts are formed after source/drain activation and gate stack, low thermal budget process is beneficial to minimize dopant deactivation and unintentional gate work function shift.

In-situ doped selective epitaxial growth is increasingly used to form the raised source/drain for FinFET. There is, however, a limitation in the maximum activation level it can achieve. Activation can be improved using MSA in combination with additional implantation. Drastic FinFET performance improvement has been achieved with co-optimization of conformal doping, selective epitaxial growth, implantation and MSA. In addition to front-end and middle-of-line applications, there are also opportunities at the back-end. One example is low-k curing. For FinFET, low-k is important not only as an inter-Cu dielectric, but also as a transistor-level dielectric to minimize the parasitic capacitance arising from 3D topography. The modulus and hardness of the low-k films can be improved without adversely impacting the k value using MSA.

New channel materials

Below the 10nm technology node, new materials with enhanced transportation, such as SiGe/Ge and III-V compounds, may be needed to meet the performance requirements. These materials have low thermal stability and are lattice mis-matched with the Si substrate, as a result physical integrity during thermal annealing is a very big concern. Low thermal budget processing by MSA provides a way to alleviate this issue. For example, studies on SiGe/Si heterostructures have shown that MSA can enable a higher annealing temperature than RTA, without strain relaxation or structural degradation. This results in improved activation. With MSA, junctions with enhanced activation and reduced diffusion can be obtained.

Summary

We have reviewed various applications of millisecond annealing for advanced device fabrication. As new materials emerge and device dimensions approach the atomic scale, precise thermal budget control becomes critical. This opens new opportunities for short time scale annealing. In addition to the traditional dopant activation and impurity profile control, MSA can also be used for interface engineering and material property modifications (structural, electrical, chemical, and mechanical). In general, if a desired process has higher thermal activation energy than an undesired process, application of high temperature, short duration annealing is beneficial.

YUN WANG, Ph.D., is Senior Vice President and Chief Technologist of Laser Processing Ultratech, San Jose, CA.

Entegris, Inc. today announced a new product for its VaporSorb line of airborne molecular contamination (AMC) filters. The new filter was created as an “all-in-one,” single-filter solution for capturing critical AMC in the chemical mechanical planarization process, or CMP, in semiconductor manufacturing. VaporSorb, which is a leading brand of filter used in cleanroom environments and for process tools during key steps in manufacturing, is the first such filter available for CMP process tools that protects against weak acids as well as other contaminants.

The new filter was designed specifically for CMP tools to provide balanced lifetimes for all critical AMC in a single filter which avoids the complexities of multi-filter handling. In addition, the filter retains the VaporSorb brand’s industry-leading service life to reduce both tool downtime and cost of ownership.

“Yield concerns in the CMP process, just as in the photolithography process, can be addressed by providing complete AMC protection. This means protecting against weak acids, as well as strong acids and other contaminants,” stated Entegris Product Marketing Manager for AMC Filtration Solutions, Marc Venet. “With VaporSorb CMP, we have a single solution that completely addresses AMC-induced corrosion defects in CMP processes.”

Examples of weak acids include acetic and formic acids (acetate; CH3COO and formate; HCOO) and nitrous acid (nitrite; NO2). Strong acids include HNO3, SO2, H2SO4 and HCl. These contaminants are causing concerns regarding defects and yield in CMP processes.

In July, the company launched the industry’s first “four-in-one” filter, the VaporSorb TRK for photolithography tools, to capture airborne organics, bases, strong acids and weak acids. VaporSorb filters use Entegris’ own unique mix of materials to capture airborne molecular contaminants, which are tailored to create application- and fab-specific filter solutions.

A novel metal gate integration scheme to achieve precise threshold voltage (VT) control for multiple VTs is described. 

BY NAOMI YOSHIDA, KEPING HAN, MATTHEW BEACH, XINLIANG LU, RAYMOND HUNG, HAO CHEN, WEI TANG, YU LEI, JING ZHOU, MIAO JIN, KUN XU, ANUP PHATAK, SHIYU SUN, SAJJAD HASSAN, SRINIVAS GANDIKOTA, CHORNG-PING CHANG and ADAM BRAND, Applied Materials, Santa Clara, CA 

At very small process geometries, precise control of electrical conductivity is difficult to maintain. The industry requires a viable replacement-gate FinFET architecture to continue scaling high performance CMOS [1, 2] technology and designs. Furthermore, cost-effective and precise VT control to achieve multiple VTs is essential for future ULSI fabrication to achieve optimal power consumption and performance.

In this study, using WFM full fill and combining two techniques — the novel metal composition and ion implantation into the WFM process, we successfully realized three critical aspects for the metal gate for 10 nanometer and beyond. These are: 1) precise effective work function (eWF) control over a 600 millivolt (mV) tuning range to achieve multiple VT, 2) maintaining conductivity for a sub-15 nanometer gate trench, and 3) compatibility to the self-aligned contact (SAC).

A metal oxide semiconductor capacitor (MOSCAP) was used to evaluate the impact of the metal compo- sition and beam line ion implantation on eWF. Ion implantation was performed for some of the samples after high-k dielectric and work function metal deposition on blanket wafers. High frequency capacitance voltage (HFCV) and current voltage (IV) measurements were recorded for the MOSCAP samples. A single damascene structure was used to measure sub-20 nanometer line resistance. A planar MOSFET was also used for evaluating impact on VT and variability.

Work function modulation

FIGURE 1 shows eWF with three compositions of NMOS WF metals (nWFM) compared with RF-PVD titanium aluminum (TiAl) that was used as the nWFM reference metal. Results demon- strated that the difference between the highest and lowest WF was 550 mV and is attributed to the ALD TiAl composition. Nitrogen ion implantation into the ALD TiAl enabled further WF tuning by 100-150 mV steps. This made possible a WF range from near the Si conduction band edge of 4.1 electron volts (eV) for NMOS low VT to above mid-gap 4.7 eV. The WF shift corresponded well to the different dose levels; therefore we demonstrated that ion implantation can be used to pinpoint the target WF. In addition, we found that ion implantation into ALD TiAl does not degrade the gate leakage current and effective oxide thickness (EOT) performance.

FIGURE 1. nWFM composition impact on eWF.

FIGURE 1. nWFM composition impact on eWF.

Maintaining metal gate conductance for 10nm node

According to the ITRS roadmap, a gate length of 17 nanometers is expected for the 10 nanometer technology node [3]. The problem is that after the high-k cap and etch stop depositions, the gate will have limited space left for the metal fill process [4]. One solution is to fully or mostly fill the trench with WF metal. Using an advanced ALD TiAl deposition process, we were able to fill 13 nanometer wide trenches without any gapfill voids. FIGURE 2 shows the extendible conductance of the ALD TiAl and WF fill process.

FIGURE 2. Conductance curves of various metals filling small trenches.

FIGURE 2. Conductance curves of various metals filling small trenches.

It is known that NMOS low WF metals are more prone to oxidization than high WF PMOS films such as titanium nitride (TiN) and that air exposure affects VT control [5]. In our study, degradation on the conductance curves from air exposure was also observed (FIGURE 3). The air exposed sample showed a large offset of the conductance curve to the right while maintaining the slope, i.e. differential resistivity. The TEM (FIGURE 4) shows an additional layer between the TiN barrier and ALD TiAl. Scanning transmission electron microscope- electron energy loss spectroscopy analysis confirmed high oxygen in the white interface. Thus, it is critical to have an in situ ALD TiAl process on the high k TiN cap to maintain conductivity for the 10 nanometer node.

FIGURE 3. Effect of air exposure in between TiN barrier and nWF metal on conductance below 30 nanometers.

FIGURE 3. Effect of air exposure in between TiN barrier and nWF metal on conductance below 30 nanometers.

FIGURE 4. TEM images at interface of TiN barrier and nWFM. The ex situ sample shows oxidized interface by air exposure.

FIGURE 4. TEM images at interface of TiN barrier and nWFM. The ex situ sample shows oxidized interface by air exposure.

Self-aligned contact compatibility and CMOS VT tuning

At the 22 nanometer technology node, a metal gate SAC is necessary to scale contacted gate pitch [1]. This requires a well-controlled etch back of the metal gate, with subsequent capping of the etch stop material such as silicon nitride (SiN) to prevent contact to gate shorts. Tungsten (W) has been used in volume production because it offers a robust etch back process. In our study, we demonstrated that a controlled recess etch can be achieved with the more conductive TiAl fill compared to W (FIGURE 5). In addition, after metal etch back, a SAC cap was successfully formed with a high density plasma (HDP) SiN fill and chemical mechanical planarization (CMP).

FIGURE 5. Cross-sectional TEM images show controlled etch back of ALD TiAl fill metal gate for SAC integration. The left and middle images after recess etch-back. The right image is after Cap Nitride CMP.

FIGURE 5. Cross-sectional TEM images show controlled etch back of ALD TiAl fill metal gate for SAC integration. The left and middle images after recess etch-back. The right image is after Cap Nitride CMP.

Multiple WF metals need to be integrated for CMOS VT tuning for NMOS and PMOS. In our study we examined the CMOS ALD TiAl flow for four VT tunings. From the results, we propose a new process flow: 1) after the high-k and etch stop layer deposition steps, a fully clustered barrier TiN and nWFM be deposited. Some areas can be masked by photoresist (PR) and the exposed area modified by ion implantation. 2) Etch off the first nWF layer from the PMOS areas. 3) Deposit the second WF (N-3) and barrier. 4) Perform second ion implantation to shift the WF of the third device. 5) Lastly, ALD TiAl is again etched off from the PMOS area WFM (TiN), followed by W or Al fill to fill the remaining gap. The last TiN material serves as the highest WF as well as the barrier layer for W or Al. This flow provides four VTs and metal fill with a clustered nWFM film stack.

Conclusion

Metal WF modulation for VT tuning using a new scheme tunable in the range of 600 mV was successfully demonstrated for 10 nanometer CMOS integration. Ion implantation dose control enabled continuous WF tuning for multiple VT targets. Metal gate conductance data showed the benefit of in situ processing with a TiN barrier and NMOS WF metal. Based on the results, a CMOS flow with NMOS WF-first was proposed for multi-VT tuning.

References

1. C. Auth et al., VLSI Tech. Sym. Dig., p. 131, (2012)
2. P. Packan et al., IEDM Tech. Dig., p. 659, (2009)
3. ITRS Roadmap 2011 Edition
4. N. Yoshida, et al., VLSI Tech. Sym. Dig., p. 81, (2012) 5. A. Veloso, et al., VLSI Tech. Sym. Dig., p. 33, (2012)

MEI Wet Processing Systems and Services revealed performance data today on its newly released Cu & TiW Critical Etch System for compound semiconductor manufacturing.

MEI’s Critical Etch batch wet processing systems achieve comparable etch uniformity, providing superior results when compared to single wafer spray tools for Cu and TiW Etch. Additionally, MEI’s critical etch solution enables consistent etching even within dense patterned areas. The superior immersion etch uniformity enables process engineers to minimize over etch times enabling the use of lower cost immersion technology to produce high end products. MEI’s critical etch system also saves valuable manufacturing floor space by reducing the wet process footprint requirement by at least 60% over comparable throughput single wafer spray tools.

“MEI’s Critical Etch solution for Au, Ag, Cu and TiW will allow semiconductor manufacturers to lower costs, reclaim valuable cleanroom space and increase yield while producing high quality results,” said Dan Cappello, President and CEO, MEI LLC. “Our immersion system etch uniformity far surpasses many alternative solutions. Side by side ‘split lot’ comparison data demonstrates superior performance with <2% etch uniformity, compared to >15% for other immersion and spray tool designs.”

About MEI’s Critical Etch wet processing system—a new approach.

MEI’s Critical Etch System is unlike conventional metal etch systems. We leveraged our immersion experience to produce a low cost, small footprint, high performance package. Our systems can be configured for single or combination metal etch steps over a wide variety of needs. Conventional etch solutions rely on -dry tool or complex deplating processes which are very expensive to operate. MEI’s immersion solution enables a new generation of mobile devices to be produced in a cost effective manner.

MEI’s batch immersion system’s are field proven on 1- 5 um features which were consistently etched with exceptional uniformity and high throughput.

MEI’s Critical Etch solution targets semiconductor and MEMS processing applications where metal pattern etches are required to create todays most sophisticated mobile communication devices.

SEMI-GAS Systems, a provider of ultra-high purity gas delivery equipment, recently broadened the capabilities of its custom Xturion Blixer gas blender product line. The new options include system auto-purging and a dynamic stream gas analyzer to facilitate the blending of highly corrosive gases into gas mixtures, as needed in semiconductor and microelectronics fabrication processes.

Based on a preset component ratio, Blixer receives regulated process gases from two or more independent gas sources and blends them to the desired composition in the system’s on-board accumulator tank. The system then draws from the accumulator tank and delivers the uniform gas mixture through the process gas outlet to a tool or gas distribution manifold.

To oversee all of these system operations, Blixer utilizes a SEMI-GAS GigaGuard PLC controller with an ergonomically front-mounted 8.0″ color touch screen. In addition, the PLC controller manages the electrical components and pneumatically actuated valves for process gas delivery and fully automated purging sequences.

Mass flow controllers regulate the volumes of component and balance gases to be blended according to the controller’s pre-programed blend recipe. Recipe percentages can be adjusted by the system’s operator through the touchscreen, allowing for on-site process modifications.

To ensure a highly accurate blend composition, the GigaGuard PLC works in conjunction with the system’s dynamic stream gas analyzer by continuously withdrawing a small sample from the accumulator tank. If the analyzer signifies the mixture is outside of the preset tolerance range, the system will automatically adjust the blend percentages to approach the set point value.

Should the system recognize an error, external system lights and audible alarms will commence, signaling operators of warnings and/or shutdown conditions. To provide emergency manual shutdown, an externally mounted UL-approved Emergency Off (EMO) push button is provided. Remote shutdown options are also available with an optional kit that can communicate via an Ethernet network, enabling centralized, facility-wide equipment monitoring and data collection.

Like all SEMI-GAS custom Xturion systems, each unit is user-configurable to accommodate application-specific flows, pressures, mixture percentages, and blending accuracies. Various analyzer configurations are also adjustable to precisely tailor the system’s operational needs for each application.

All Blixers meet SEMI S2 and Uniform Fire Code requirements and are equipped with UL-approved fire sprinklers, a 1/4″ high-impact polycarbonate plastic safety viewing shield, and gas identification labels. The standard enclosure is constructed of powder coated 11 gage cold rolled steel and is 87 inches tall, 40 inches wide and 28 inches deep, including an 8-inch exhaust collar for venting to the facility’s ducting.

Internal panel components are autogenously welded, helium leak tested and certified to the highest purity standards. All valves, regulators, transducers, tubing and fitting bodies are 316L stainless steel to prevent deterioration from the corrosive process gases and resulting mixtures.

SEMI-GAS Systems Gas Blender

Storing gas on a sorbent provides an innovative, yet simple and lasting solution.

BY KARL OLANDER, Ph.D. and ANTHONY AVILA, ATMI, Inc., an Entegris company, Billerica, MA

The period following the introduction of subatmospheric pressure gas storage and delivery was punctuated by continuous technical innovation.

Even as the methodology became the standard for supplying ion implant dopants, it continued to rapidly evolve and improve. This article reflects on the milestones of the last 20 years and considers where this technology goes from here.

From the beginning, the semiconductor industry’s concern over using highly toxic process gases was evident by the large investment being made in dedicated gas rooms, robust ventilation systems, scrubbers, gas containment protocols and toxic gas monitoring. While major advances have been made in the form of automated gas cabinets and valve manifold boxes, gas line components, improved cylinder valves and safety training, the underlying threat of a catastrophic gas release remained.

Risk factors targeted

The underlying risk with compressed gases is twofold: high pressure, which provides the motive force to discharge the contents of a cylinder, and secondly, a relatively large hazardous production material inventory, which can be released during a containment breach. Pressure also is a factor in component failure and gas reactivity, e.g., corrosion. Mitigating these issues would considerably increase safety.

FIGURE 1. The stages of developing a new chemical precursor for use in commercial IC production.

FIGURE 1. The stages of developing a new chemical precursor for use in commercial IC production.

Analysis of the risks suggested an on-demand, point-of-use gas generator would improve safety by both reducing operating pressure and gas inventory[1]. The challenges associated with this approach include complexity of operation and gas purity, especially in a fab or process tool setting. Chemical generation of arsine, while possible, per equation [A], also substituted a highly reactive toxic solid for arsine[2]. Considerable safety and environmental issues accompanied the operation of such a generator. An on-demand, point-of-use electrochemical approach for supplying arsine, per equation [B], would also eliminate the need for high pressure storage if the associated operational issues could be overcome. Numerous attempts at developing a commercial electrochemical generator just never proved successful[3].

[A] KAsH2 + H2O —> AsH3/H2O + KOH
[B] As(s) + 3H2O + 3e(-) —> AsH3(g) + 3OH(-)

Innovation from a simple(r) solution

Pressure swing adsorption processes utilize the selective affinity between gases and solid adsorbents, and are widely used to recover and purify a range of gases. Under optimal conditions, the gas adsorption process releases energy and produces a material that behaves mores like a solid than a gas.

Early work at reversibly adsorbing toxic materials on a highly porous substrate showed promise. In 1988, the Olin Corporation described an arsine storage and delivery system where the gas was [reversibly] adsorbed onto a zeolite, or microporous alumino- silicate, material[4]. A portion of the stored gas could be recovered by heating the storage vessel to develop sufficient arsine pressure to supply a process tool. In 1992, ATMI supplied a prototype system based on the Olin technology to the Naval Research Lab in Washington, D.C.

The breakthrough that lead to the first commercial subatmospheric pressure gas storage and delivery system occurred when ATMI reported the majority of the adsorbed gas could be supplied to the process by subjecting the storage vessel to a strong vacuum. Using vacuum rather than thermal energy simplified the process, providing the means for an on-demand system[5]. Using a sorbent had the effect of turning the gas into something more akin to a “solid.” That characteristic, coupled with the absence of a pressure driver, delivered an inherently safe condition. The vacuum delivery condition also helped define where the technology would find its first application: ion implantation[6].

Safe and efficient gas storage and delivery

In 1993, prototype arsine storage and delivery cylinders based on vacuum delivery were beta tested at AT&T in Allentown, PA[g] [f]. The system was trademarked Safe Delivery Source®, or SDS®. Papers were presented on safe storage and delivery of ion implant dopant gases the following year in Catania, Sicily at the International Ion Implant Technology Conference[7].

The goal to find a safer method to offset the use of compressed gases was realized: (1) gas is stored at low pressure (ca. 650 Torr at 21°C) and (2) the potential for large and rapid gas loss is averted. Leaks, if they occur, whether by accidental valve opening or a containment breach, would be first inward into the cylinder. Once the pressure equalizes, gas loss to the environment would be governed mainly by diffusion as the gas molecules remain associated with the sorbent. The SDS package, while not a gas generator per se, effectively functions like one.

FIGURE 2. Cutaway view of SDS3 carbon pucks within a finished cylinder.

FIGURE 2. Cutaway view of SDS3 carbon pucks within a finished cylinder.

While subatmospheric pressure operation is an artifact of having to “pull the gas” away from the sorbent, it has become synonymous with safe gas delivery. The optimization work which followed focused on reducing pressure drop in the gas delivery system by improving conductance in valves, mass flow controllers and delivery lines. A restrictive flow orifice was no longer required. The new gas sources proved to work best when in close proximity to the tool.

The years after this technology introduction also saw considerable efforts to improve the sorbent; ultra-pure carbon replaced the zeolite-based material used in the first generation SDS (SDS1), roughly doubling the deliverable quantities of gas per cylinder. These granular carbon sorbents in the SDS2 were later replaced by solid, round monolithic carbon “pucks” in SDS3 (FIGURE 2), which necessitated the cylinder be built around the sorbent[8]. This improvement again roughly doubled gas cylinder capacity.

Recognized in international standards

In 2012, the United Nations (U.N.) recognized the uniqueness of adsorbed gases and amended the Model Regulation for the Transport of Dangerous Goods by creating a new “condition of transport” for gases adsorbed on a solid and assigning a total of 17 new identification numbers and shipping names to the Dangerous Good List. Adoption is expected to occur by 2015. A few of the additions are noted here.

Arsine   – UN 2188 – compressed
Arsine, adsorbed – UN 3522 – SDS
Phosphine – UN 2199 – compressed
Phosphine, adsorbed – UN 3525 – SDS

FIGURE 3. The evolution of a SAGS Type 1 gas package.

FIGURE 3. The evolution of a SAGS Type 1 gas package.

In recent years, fire codes have been updated through the definition and classification of subatmospheric Gas Systems, or SAGS, based on the internal [storage] pressure of the gas.9 Systems based on both sub-atmospheric pressure storage and delivery are designated as Type 1 SAGS. It is important to note that the UN definition for adsorbed gases, and the resulting new classifications mentioned above, only applies to Type 1 SAGS, defined as follows:

3.3.28.5.1 Subatmospheric Gas Storage and Delivery System (Type 1 SAGS). A gas source package that stores and delivers gas at sub-atmospheric pressure and includes a container (e.g., gas cylinder and outlet valve) that stores and delivers gas at a pressure of less than 14.7 psia at NTP.

It is also worth mentioning that sub-atmospheric pressure gas delivery can also be achieved using high pressure cylinders by embedding a pressure reduction and control system. The Type 2 SAGS typically employs a normally closed, internal regulator[s] that a vacuum condition to open. This is not a definition of sub-atmospheric storage and delivery, but of sub-atmospheric delivery only.

3.3.28.5.2 Subatmospheric Gas Delivery System (Type 2 SAGS). A gas source package that stores compressed gas and delivers gas subatmospherically and includes a container (e.g., gas cylinder and outlet valve) that stores gas at a pressure greater than 14.7 psia at NTP and delivers gas at a pressure of less than 14.7 psia at NTP.

In general, Environmental Safety and Health managers, risk underwriters and authorities having jurisdiction recognize the importance of SAGS and requires recommend their use whenever process conditions allow[10].

Expanding SAGS into new applications

Taking the lessons learned from SDS2/SDS3 in ion implant operations, along with key findings from
other applications like HDP-CVD (the SAGE package) and combined with sorbent purification and carbon nanopore size tuning, SAGS Type 1 packages are poised to offer their safety advantages in new and emerging areas, as well as add even more safety and efficiency benefits. Currently, a new package called Plasma Delivery SourceTM (PDSTM) is available for high flow rate applications, while maintaining all the safety attributes of the SAGS Type 1 package.

Also, in addition to the inherent safety, PDS employs a pneumatic operator (valve) to the cylinder which further minimizes the opportunity for human error. In an emergency, such as a toxic gas alarm, pressure excursion, loss of exhaust, etc., gas flow at the source can be quickly stopped and the cylinder isolated. Cycle/purge operations are made safer as human involvement is minimized. Human-initiated events, like over-torqueing the valve, failing to close the valve or even back-filling a cylinder with purge gas, are prevented.

SDS1 SDS2 SDS3
Arsine 200 559 835
Phosphine 85 198 385

Expanding the use of SAGS beyond the domain of ion implant involves successfully navigating key process factors such as operating pressure, flow rates, proximity to the tool and purity. One approach includes coupling the PDS cylinder and gas cabinet together to yield a plug and play “smart” delivery system. Unlike high pressure systems, which are more concerned with excess flow situations, knowing and controlling pressure allows a SAGS cabinet to operate at a reduced risk. This enables linking cabinet ventilation rates with the system operating pressure. During normal operating conditions, the exhaust rate could be reduced by up to 80 percent because the system is operating sub-atmospherically. Should the operating pressure exceed a preset threshold, the exhaust flow would automatically revert to a higher range or the cylinder valve would close.

The future, therefore, could see these PDS packages extended to another level by incorporating them into smart delivery systems, which will further reduce risk, maximize efficiency, improve cost of ownership and expand the footprint for SAGS into new applications like plasma doping, solar, epitaxy and etch.

Summary

During the last 20 years, the semiconductor industry undertook a large effort to develop safer gas delivery technologies to reduce risks associated with dopants used in ion implant. Many technologies were considered, including chemical and electrochemical gas generators, complexing gases with ionic liquids or mechanically controlling cylinder discharge pressure using embedded regulator devices.

In the end, storing gas on a sorbent provided an innovative, yet simple and lasting solution. Gas-sorbent interactions are well understood, reproducible and can be achieved with a minimum of moving parts. Gas release risks, driven by pressure, are all but removed from consideration. And any potential for human error continues to be a target for improvement wherever toxic gases are used.

References

1. Proc. Natl. Acad. Sci. USA 89 pp 821-826, 1992.
2. Appl. Phys. Lett., 60 1483
3. Electron Transfer Technology, US Patent 59225232
4. Olin Corporation, US Patent US4744221A
5. Advanced Technology Materials, US Patent US5518528 6. Many thanks to Dan McKee and Lee Van Horn for being the first of many early adopters.
7. Proceedings of the Tenth International Conference on Ion Implantation Technology, 1994, pp 523-526.
8. DOT-SP 13220.
9. NFPA 318, Standard for the Protection of Semiconductor Fabrication Facilities 2012 Edition. 10. SAGS in the FAB, SST reference

ATMI is a wholly owned subsidiary of Entegris, Inc. ATMI, Safe Delivery Source, SDS, Plasma Delivery Source and PDS are trademarks of Entegris, Inc. in the U.S., other countries, or both. All other names are trademarks of their respective companies.

The 2013 market for semiconductor wet chemicals (acids, bases and solvents) totaled $1.03B, up six percent over 2012, according to a new report from Techcet Group, “Wet Chemicals for Semiconductor Device Processing 2014, A Techcet Group Critical Materials Report.” The 2014 outlook is for eight percent additional growth to $1.11B. The semiconductor wet chemicals market is expected to grow to $1.36B by 2018, according to Techcet’s forecast. Current growth in wet chemicals revenue tracks the increase in wafer starts and further boosted by price increases driven by higher raw materials costs.

As <32nm nodes move toward volume production, there is a continuing trend toward the use of more dilute chemistries and smaller chemical volumes per process step. Overall process cost reduction and a smaller environmental footprint combine to drive this trend. The continuing shrinkage of device features is also driving the reinvestigation of alternative process technologies such as supercritical CO2 all plasma processing, and UV-ozone cleaning.

The global market is distributed among seven major players with five percent share or greater, led by BASF and Kanto in the first tier. There are, however, vast differences in market leadership by region, with BASF leading in Europe, Taiwan and China, and Kanto leading in Singapore and Japan. KMG continues to expand its US market share dominance with its acquisition of OM Group’s Ultra Pure Chemicals business in June, 2013.

The semiconductor wet chemical industry is vulnerable to several situations playing out in the general chemical industry as well as international politics. Semiconductor-grade nitric acid supplies were disrupted by a May 2012 explosion at El Dorado Chemical Company, and are not expected to fully recover until mid-2015. US shale oil production is providing some price escala- tion relief from Middle East petroleum supplies, providing relief in solvents and polyethylene products. New mining activity for phosphor and fluorspar around the world is starting to reduce dependence on China, providing some relief for hydrofluoric and phosphoric acid supplies.

In addition to market analysis, technical trends, critical supply chain issues and EH&S activities, the report includes profiles and updates for thirteen major chemical suppliers to the global semiconductor industry.

Techcet Group, LLC specializes in technical trend analysis and market analysis for the semiconductor, silicon, PV and related electronics industries. The company has been responsible for producing the International Sematech Critical Material Reports since 2001.