Category Archives: Resource Guide

By Jay Chittooran, Manager, Public Policy, SEMI

International trade is one of the best tools to spur growth and create high-skill and high-paying jobs. Over 40 million American jobs rely on trade, and this is particularly true in the semiconductor supply chain. Over the past three decades, the semiconductor industry has averaged nearly double-digit growth rates in revenue and, by 2030, the semiconductor supply chain is forecast to reach $1 trillion. Trade paves the way for this growth.

Unfortunately, despite its importance to the industry, trade has been transformed from an economic issue into a political one, raising many new trade challenges to companies throughout the semiconductor industry.

GHz-ChinaChina’s investments in the industry will continue to anchor the country as a major force in the semiconductor supply chain. China’s outsized spending has spawned concern among other countries about the implications of these investments. According to SEMI’s World Fab Forecast, 20 fabs are being built in China – and construction on 14 more is rumored to begin in the near term – compared to the 10 fabs under construction in the rest of the world. China is clearly outpacing the pack.

The Trump Administration has levied intense criticism of China, citing unfair trade practices, especially related to intellectual property issues. The U.S. Trade Representative has launched a Section 301 investigation into whether China’s practice of forced technology transfer has discriminated against U.S. consumers. Even as the probe unfolds, expectations are growing that the United States will take action against China, raising fears of not only possible retaliation in time but rising animosity between two trading partners that rely deeply on each other.

A number of other open investigations also cloud the future. The Administration launched two separate Section 232 investigations into steel and aluminum industry practices by China, claiming Chinese overproduction of both items are a threat to national security. The findings from these investigations will be submitted to the President, who, in the coming weeks, will decide an appropriate response, which could include imposing tariffs and quotas.

Another high priority area is Korea. While U.S. threats to withdraw from the U.S.-Korea Free Trade Agreement (KORUS) reached a fever pitch in August, rhetoric has since tempered. Informal discussions between the countries on how best to amend the trade deal are ongoing. The number of KORUS implementation issues aside, continued engagement with Korea – instead of scrapping a comprehensive, bilateral trade deal – will be critically important for the industry.

Lastly, negotiations to modernize the North American Free Trade Agreement (NAFTA) will continue this year. The United States wants to conclude talks by the end of March, but with the deadline fast approaching and the promise of resolution waning, tensions are running high. Notably, the outcome of the NAFTA talks will inform and set the tone for other trade action.

What’s more, a number of other actions on trade will take place this year. As we wrote recently, Congress has moved to reform the Committee on Foreign Investment in the United States (CFIUS), a government body designed to review sales and transfer of ownership of U.S. companies to foreign entities. Efforts have also started to revise the export control regime – a key component to improving global market access and making international trade more equitable.

SEMI will continue its work on behalf of its members around the globe to open up new markets and lessen the burden of regulations on cross-border trade and commerce. In addition, SEMI will continue to educate policymakers on the critical importance of unobstructed trade in continuing to push the rapid advance of semiconductors and the emerging technologies they enable into the future. If you are interested in more information on trade, or how to be involved in SEMI’s public policy program, please contact Jay Chittooran, Manager, Public Policy, at [email protected].

Beijing NAURA Microelectronics Equipment Co.,Ltd. (“NAURA”) and Akrion Systems LLC today jointly announced that the previously announced acquisition by NAURA has been completed. As a result of the closing of the transaction, NAURA Akrion Inc. (“NAURA Akrion”), a wholly owned subsidiary of NAURA in the United States, acquired Akrion’s surface preparation business.

“We are very pleased to have completed the transaction,” said Mr. Michael Ioannou, NAURA Akrion CEO. “Enhanced by the strong strategic and financial support of our new investors, the company’s future is brighter than ever. We are excited to continue building and growing NAURA Akrion into a global leader in wet-processing systems as part of NAURA.”

“The successful completion of the transaction will enhance NAURA’s cleaning equipment product line rapidly, and allow it to cover the integrated circuit chip process including Pre Film Deposition Clean, PR Strip, Backside Clean, Wafer Reclaim, Post Etch Clean, SiN/Oxide Etch,Post Metal Deposition and Al pad clean, and other Wet technologies. This is a strong upgrade of NAURA’s surface preparation business and will boost NAURA’s overall market competitiveness.” Commented by Mr. Jinrong Zhao, President & CEO of NAURA. “Upon completion of the transaction, the new entity NAURA Akrion will continue to focus on and promote the existing line of 8-12 inch batch and single wafer systems designed for integrated circuit chip manufacturing, silicon wafer fabrication, MEMS and advanced packaging. NAURA Akrion will invest resources in R&D on new application development, new process development and new product development. It will also stay customer-focused and continue to support existing and future customers worldwide with expanded product and solutions.

Acuity Advisors LLP, a UK based M&A technology advisory firm, served as the financial advisor and Perkins Coie served as the legal advisor to Akrion Systems LLC. Needham & Company served as the financial advisor, Gibson, Dunn & Crutcher LLP served as the US legal advisor to NAURA.

NAURA Akrion is a supplier of advanced surface preparation systems and processes used in the manufacture of solar, semiconductor and related devices.

Today, SEMI, the global industry association representing the electronics manufacturing supply chain, released its Year-end Forecast at the annual SEMICON Japan exposition. SEMI projects that worldwide sales of new semiconductor manufacturing equipment will increase 35.6 percent to US$55.9 billion in 2017, marking the first time that the semiconductor equipment market has exceeded the previous market high of US$47.7 billion set in 2000. In 2018, 7.5 percent growth is expected to result in sales of US$60.1 billion for the global semiconductor equipment market – another record-breaking year.

The SEMI Year-end Forecast predicts a 37.5 percent increase in 2017, to $45.0 billion, for wafer processing equipment. The other front-end segment, which consists of fab facilities equipment, wafer manufacturing, and mask/reticle equipment, is expected to increase 45.8 percent to $2.6 billion. The assembly and packaging equipment segment is projected to grow by 25.8 percent to $3.8 billion in 2017, while semiconductor test equipment is forecast to increase by 22.0 percent to $4.5 billion this year.

In 2017, South Korea will be the largest equipment market for the first time. After maintaining the top spot for five years, Taiwan will place second, while China will come in third. All regions tracked will experience growth, with the exception of Rest of World (primarily Southeast Asia). South Korea will lead in growth with 132.6 percent, followed by Europe at 57.2 percent, and Japan at 29.9 percent.

SEMI forecasts that in 2018, equipment sales in China will climb the most, 49.3 percent, to $11.3 billion, following 17.5 percent growth in 2017. In 2018, South Korea, China, and Taiwan are forecast to remain the top three markets, with South Korea maintaining the top spot at $16.9 billion. China is forecast to become the second largest market at $11.3 billion, while equipment sales to Taiwan are expected to approach $11.3 billion.

The following results are in terms of market size in billions of U.S. dollars:

equipment forecast

CVD Equipment Corporation (NASDAQ: CVV), a provider of chemical vapor deposition systems and materials announced today that it has completed the purchase of the Company’s planned additional facility, located at 555 North Research Place, Central Islip, NY. This new facility will be the primary manufacturing center for the Company’s wholly owned subsidiary, CVD Materials Corporation.

Leonard A. Rosenbaum, President and Chief Executive Officer stated, “With the completion of this purchase we now have the manufacturing space to accelerate our capabilities of providing materials, coatings, and surface treatments to meet our customers’ needs. We look forward to the expansion of our carbon composites and electronic material, Tantaline®, and newly acquired MesoScribe™, product lines. We also anticipate future growth, both organically and by possible future acquisitions. With the purchase behind us, we are now focusing on bringing the new facility on-line and for additional growth opportunities enabled by this additional 180,000 square foot facility.”

CVD Equipment Corporation designs, develops, and manufactures a broad range of chemical vapor deposition, gas control, and other equipment and process solutions used to develop and manufacture materials and coatings for research and industrial applications.

The semiconductor industry continued its upward trend in the third quarter of 2017, notching 12 percent sequential growth with strength across all application markets, according to IHS Markit (Nasdaq: INFO). Global revenue totaled $113.9 billion, up from $101.7 billion in the second quarter of 2017.

As memory prices remain high and the wireless market continues to see strong demand through the fourth quarter, 2017 is shaping up to be a record-breaking year for the semiconductor industry. IHS Markit projects that semiconductor revenue will reach a record-high $428.9 billion in 2017, representing a year-over-year growth rate of 21 percent.

Key growth drivers

All application end markets posted sequential growth over the prior quarter, with wireless communications and data processing categories leading the pack.

Revenue from wireless applications grew faster sequentially in the third quarter of 2017 than any of the other high-level application markets. Semiconductor revenue from wireless applications was a record high $34.8 billion in the third quarter, representing nearly 31 percent of the total semiconductor market. IHS Markit anticipates an even bigger fourth quarter for wireless applications, projecting $37.5 billion in revenue — and more than $131 billion for the full-year 2017.

As the wireless market evolves, this growth can be attributed to a number of factors. ”More complex and comprehensive smartphone systems on a chip are supporting applications such as augmented reality and computational photography,” said Brad Shaffer, senior analyst for wireless semiconductors and applications at IHS Markit. “Premium smartphones have increasing amounts of memory and storage. The radio frequency content in these smartphones has also grown considerably over the past few product generations, with many high-end smartphones now supporting gigabit LTE mobile broadband speeds.”

The memory markets proved once again to be the driving force and highest-growing segment for semiconductors in the third quarter of 2017. “The DRAM industry had another record quarter with $19.8 billion in revenue, exceeding the prior record by more than $3 billion,” said Mike Howard, director for DRAM memory and storage research at IHS Markit. “Prices and shipments were up during the quarter as strong demand for mobile and server DRAM continued to propel the market.”

Top_5_memory

The NAND industry had another record quarter as well, growing 12.9 percent in the third quarter of 2017, with total revenue reaching $14.2 billion. “Pricing was flat in the quarter, as seasonally strong demand driven by the mobile and solid-state drive segments was able to offset moderate shipment growth,” said Walter Coon, director for NAND flash technology research at IHS Markit. “The market is expected to soften exiting 2017 and into early next year, as the industry transition to 3D NAND technology continues to progress and the market enters a traditionally slower demand period.”

Manufacturer moves

Samsung officially passed Intel to become the number-one semiconductor supplier in the world in the third quarter of 2017, growing 14.9 percent sequentially. Intel now comes in at number two, with SK Hynix securing the third rank in terms of semiconductor revenue for the third quarter.

top_5_semiconductor

Among the top 20 semiconductor suppliers, Apple and Advanced Micro Devices (AMD) achieved the highest revenue growth quarter over quarter by 46.6 percent and 34.3 percent, respectively.

There was a good deal of market share movement within the top 10 suppliers throughout the third quarter as well. In terms of semiconductor revenue, Qualcomm surpassed Broadcom Limited to secure the number-five spot, while nVidia made its way into the top 10 ranking for the first time ever. At this time last year, the top five semiconductor companies controlled 40 percent market share of the entire industry. The top five gained 4.2 percent more market share this year over last year, while comprising three memory companies instead of the previous two.

More information on this topic can be found in the latest release of the Semiconductor Competitive Landscaping Tool (CLT) from the IHS Markit Semiconductor Competitive Landscape CLT Intelligence Service.

OEM Group announced today a Post-Dice Clean solution on the proven Cintillio™ Batch Spray platform following plasma and laser dicing methods. Designed specifically to remove residue and particles left behind from these dicing methods, OEM Group’s Cintillio™ SST (Spray Solvent Tool) and Cintillio™ Eco-Clean systems utilize their patented Enhanced Spray Technology (EST) to deliver process improvement through uniform media flow with a nozzle-per-wafer concept ensuring uniform flow and increased rinse efficiency.

After wafers are singulated prior to “pick and place,” the conventional method of cleaning is by water rinsing; however, some singulation methods, particularly plasma and laser, may leave behind residues that water cannot clean. Slag, polymers, and other residues impede device performance and may cause corrosion or affect downstream processes. The Cintillio™ post-dice clean process successfully removes these residues to maintain final device performance. Chris Forgey, CTO for OEM Group says, “We’re pleased to leverage our patented Ozone process specifically for post dice clean applications, delivering value and superior process capability for this specific application.”

Along with the patented Enhanced Spray Technology (EST), both platforms adapt wafer carriers and rotors to hold multiple “diced wafer-on-tape-on-frame” substrates, delivering greater throughput, reduced chemical utilization, space efficient footprint, and excellent overall performance. According to OEM Group Applications Lab Manager, Joshua Levinson, Ph.D., “Any device manufacturer who performs back-end processing of wafers and who employs wafer singulation to create diced substrates will benefit from our solutions. Batch processing also reduces the number of cleaning tools required in a fab and lowers overall cost of ownership, waste generation, and DI water usage.”

With global headquarters in metro Phoenix, Arizona and additional sites throughout the North America, Europe, Japan and Asia, OEM Group, LLC is a semiconductor capital equipment manufacturer and innovator in new and remanufactured 75mm–200mm tools and services.

Understanding the impact of valve flow coefficient (Cv) in fluid systems for microelectronics manufacturing

BY STEPHANE DOMY, Saint-Gobain Performance Plastics,

When scaling up, or down, a high-purity liquid installation – many complex factors need to be considered from ensuring the integrity of the transported product to the cleanliness of the environment for both the safety of the process and the operator [1]. In my 15 years working in the semiconductor fluid handling component industry, I’ve learned that the Cv is a bit misunderstood. Given the Cv formula can be used for any flow component in a fluid line, most are familiar with it, yet few consider how it relates to their specific installation. Therefore, this article will focus on factors that pertain to achieving a specific flow performance and specifically the flow coefficient (Cv) as it relates to valves.

Cv empirical explanation and more

As we know, when working on a turbulent flow the Cv formula is: Cv= Q√(SG / ∆P) where Q is the flow going through the valve in gallons per minute (GPM), SG is the specific gravity of the fluid and ∆P is the pressure drop in PSI through the component. In the semiconductor industry, due to the low velocity of the transported fluid the high purity chemistry and slurries are mostly in a semi–turbulent state or a laminar state. Yet you’ll notice there is not a single link to the viscosity of the transported product in the Cv formula. This is significant given the viscosity directly impacts the Cv value when the flow is in a semi-turbulent or laminar mode. Consider that if you calculate the pressure drop in your system with the formula above you could end up with a result that is 4 to 5 times lower. No doubt this inaccuracy can cause significant issues in your installation.

To take this further, let’s analyze how pressure drop based on flow evolves through a valve by comparing a Saint-Gobain Furon® Q-Valve (1⁄2” inner flow path and 1⁄2” pipe connection) to a standard semiconductor industry valve of the same size. The Saint-Gobain valve, which meets the requirements of the semiconductor industry (metal free, 100% fluoropolymer flow path and so on), has a Cv of 3.5 – one of the best for its dimensions. To ease the calculation, we will use deionized (DI) water, which will free us of the specific gravity or impact of the viscosity if we are not in the right state.

As we can see on the graph in FIGURE 1, at a normal flow rate used in micro-e for 1⁄2” 5 to 10 lpm; the pressure drop difference between a standard valve and a Saint-Gobain valve is in the range of 0.1 to 0.3 PSI. At first glance, this does not appear to be much. However, let’s factor in a viscous product and that you have a number of these lines in your flow line — now the numbers start to accumulate. And by moving from a standard valve to a Saint-Gobain valve, as described above, you start to see a significant difference in pressure drop, which could occur across your installation. That being said, up to a certain limit (defined by another component in your installation, such as your pump pressure capability or some more delicate device) an “easy” counter is to increase the pressure through put of your pump but it is at the expense of wasting energy and adding the potential for additional shearing or particle generation in your critical fluid. Now that we have reviewed, the impact of the Cv on our flow and how this could impact our installation, let’s see what can potentially impact the Cv.

Screen Shot 2017-09-26 at 1.32.39 PM

Design impact on Cv and resulting trade-off

The first impact that may come to mind is a larger orifice – and it’s correct. The size of the orifice can benefit flow through and directly relates to the volume of your valve. However there are trade-offs for this improved Cv. The first is cost increase. A higher volume requires a larger valve, which can cost up to 50% more than the initial valve due to specific material and process requirements. Additionally, as highlighted in “Design Impact for Fluid Components” by increasing the size of the component (due to the specific micro-e material requirements), you could lose pressure rating performance [1]. Also when increasing the inner volume of your valve, you potentially increase volume retention as well as particle generation, given that using larger actuation systems results in more points of contact and creates a hub for generating particles. Another possible drawback is significant velocity loss, but that will have to be addressed in another article. The critical point to be taken here is the importance of choosing the right size orifice – too small and flow can be restricted too much and too big and you may end up paying for other problems.

Another potential impact to Cv is the difference in valve technology. Though there more, I’ll specifically cover stopcock/ball valves, weir style valves; and diaphragm valves. Other valve technologies, such as the butterfly valve, will not be discussed because their construction materials are generally not used for fluid handling components for the semiconductor industry.

Starting with the simplest design, the stopcock/ball valve provides by far the best Cv of the three technologies mentioned. Considering the premium Cv achieved, you would assume they are expensive. Instead they are generally the cheapest of the three values mentioned. One drawback in using stopcock valves is the need for a liquid oring on the fluid path which may create compatibility issues. The exception is the Furon® SCM Valve, a stopcock valve that employs a PFA on PTFE technology and allows for oring-free sealing. Additionally, stopcock valves can lower pressure/ temperature ratings and have a tendency to generate a great deal of particles when actuated. This occurs when the key or ball is rotating inside the valve body. Both drawbacks are related to the PTFE/PFA construction materials required for the flow path by the micro-e industry.

The weir style valve, if done properly, should provide a very good Cv – perhaps not as good as a stopcock/ball valve, but still very good. And although liquid orings are not an issue, these valves have other drawbacks. In a weir style valve the diaphragm is generally a sandwich structure consisting of a thin layer of PTFE that is backed by an elastomeric component in which a metal pin is embedded to connect the membrane to the valve actuating system. It is the sandwich materials that generate a number of potential issues when used on critical, high purity chemistry. Specifically, the delamination of the sandwich creates the possi- bility of multiple points of contamination to the liquid (metal & elastomer). In addition, the significant surface contact between the membrane and the valve seat, which is necessary to secure a full seal, generates a lot of particles – though significantly less than a stopcock/ball valve.

The diaphragm valve is the most commonly used valve in the semiconductor industry as it offers a great balance in terms of the issues previously identified: potential contami- nation, materials and particle generation. The trade-off is that the construction of these valves is more complex and as a result they are priced higher than the average cost of the other valves. Additionally, the Cv performance is well below a stopcock/ball valve and slightly below a weir style valve. However, by using Saint-Gobain’s patented rolling diaphragm technology this does not have to be an issue. In fact, with this technology, we can offer the equivalent Cv of a weir style valve in combination with premium pressure and temperature capabilities as well as the cleanest valve technology – all of which allows for a system design with the lowest impact possible on the transported fluid.

As demonstrated in this document, understanding the Cv rating and the impacts that could affect that rating as it relates to valves is critical when optimizing an installation for fluid and energy efficiency. Cost aside, there are a number of issues that are unique to the semiconductor industry that ultimately guide and often restrict installation choices, such as: dead volume, particle generation, cleanliness as well as the physical and mechanical properties of appropriate polymers. Additionally, choosing the appropriate valve for your installation goes far beyond the simple notion that if “I need more flow, I will get a larger valve.” Most likely the residual effect of that choice will affect the performance of the system, particularly regarding cleanliness. Instead critical adjustments to your valve actuation mechanism and valve flow path designs as well as to your valve technology may allow you to achieve the required results – even if the installation still uses the same 1⁄2” valve…but more on this point in another article.

References

1. www.processsystems.saint-gobain.com/sites/imdf.processsystems. com/files/2015-12-03-part-one-design-impact-for-fluid-components.pdf

Brooks Instrument will be exhibiting at SEMICON Taiwan 2017 with a new vaporization product, mass flow controllers with high-speed EtherCAT, and a broad range of other mass flow meters, controllers and capacitance manometers for semiconductor manufacturing.

The show runs September 13-15 at the Taipei Nangang Exhibition Center in Taipei, Taiwan. Brooks Instrument will be co-exhibiting with its regional business partner SCH Electronics Co., Ltd. at booth 168.

With more than 70 years of history in new technology developments, Brooks Instrument is focused on improving the precision and performance of mass flow, pressure and vacuum technologies to help enable advanced semiconductor manufacturing.

“At Brooks Instrument, we’re excited to be presenting for the first time at SEMICON Taiwan,” said Mohamed Saleem, Chief Technology Officer at Brooks Instrument. “We look forward to having one-on-one conversations with our colleagues from Taiwan and across the region about their key needs and the challenges they face implementing next-generation production tools and processes.”

A world leader in advanced flow, pressure, vacuum and vapor delivery solutions, Brooks Instrument will showcase key components in its portfolio designed to meet critical gas chemistry control challenges and improve process yields for 10nm and beyond nodes. This includes the new VDM300 vapor delivery module (VDM) as well as the company’s proven GF100 Series mass flow controllers (MFC) with high-speed EtherCAT® connectivity.

VDM300 Vapor Delivery Module: The self-contained VDM delivers precise amounts of ultra-high-purity deionized water (DIW) vapor to help ensure accurate and repeatable processing for functions such as plasma etching and photoresist stripping. Using proven vapor-draw vaporization technology, the VDM300 features an improved graphical user interface and firmware.

Full-scale flow capacity is up to 3,000 standard cubic centimeters per minute (sccm), with a better control turndown ratio of 20:1. Flow accuracy is ±1.0 percent of set point at 10-100 percent full-scale, while repeatability is less than ±0.2 percent of full-scale.

With its optional EtherCAT interface, the VDM300 joins the Brooks Instrument line of EtherCAT-enabled products, which also includes the company’s proven GF100 Series MFCs. The VDM300 uses the same signal processing and calibration techniques as the GF100 Series.

GF100 Series MFC with High-Speed EtherCAT Connectivity: Brooks Instrument has enhanced its industry-leading GF100 Series MFCs with high-speed EtherCAT interfaces for both high-flow and low-flow applications.

Responding to rapidly evolving requirements for next-generation tools and fabs, the GF100 Series includes several features to help boost process yields and productivity:

  • Embedded diagnostics to leverage real-time EtherCAT data acquisition capabilities for advanced fault detection and classification;
  • An ultra-stable flow sensor (less than ±0.15 percent of full-scale drift per year) enables tighter low set point accuracy and reduces maintenance requirements;
  • Improved valve shutdown reduces valve leak-by, minimizing potential first wafer effects;
  • Enhancements to the GF100 advanced pressure transient insensitivity to less than one percent of set point with five PSI per second pressure perturbations, which reduces crosstalk sensitivity for consistent mass flow delivery.

The lithography metrology equipment market is riding on the high wave of the semiconductor industry. The 2x and 1x nodes of memory and logic devices, coupled with the sensor and connected devices required to support the growth in IoT, self-driving cars, and ARs are among the key drivers which will drive the lithography metrology market. Worth $957.9 million in 2016, the market is expected reach revenue of $ 1370.1 million by 2021 at a CAGR of 7.4% although each product segment will vary in growth rate. The overlay and OCD segment will witness high growth driven primarily by the technical capability to measure at required specification and high throughput for the sub-28nm nodes.

The study focuses on both dimensional and placement metrology systems used for the process control in patterning the wafers and reticles in semiconductor fabs and mask shops, particularly after mask and etch processes of the process flow.

The metrology systems covered in the study includes:
• CD-SEM
• OCD
• Overlay

The market size of each product segment is provided for 2016 and forecasted up to 2021. The competitive landscape of each segment and the market share of key competitors such as Hitachi High-Technologies, KLA-Tencor, and ASML are also provided along with their strategic approach towards the market. The market participants are working towards enhancing the current capabilities to stay valid with device miniaturization and growing complexity of the architecture. A comparison of the current technological capability of each product is also provided. Furthermore, an insight into new technologies being developed to meet the future needs of the industry is also provided.

The study highlights and analyzes the factors that will enable market growth and the challenges that the industry will face during 2017 to 2021. In addition, the study covers a geographical analysis of the market with revenue in 2016 and an analysis of forecasted growth until 2021.

Key Questions this Study will Answer
• Which product segment will grow the fastest in the next 5 years and what is the market size in terms of revenue for each of the segments?
• What impact will IoT and industry inflection will have on the market?
• What are the key market and technology trends?
• What are the competitive landscape and dynamics influencing the growth and development of the market?

Read the full report: http://www.reportlinker.com/p05075852/Analysis-of-Global-Lithography-Metrology-Equipment-Market-Forecast-to.html

By Ed Korczynski

Veeco Instruments (Veeco) recently announced that Veeco CNT—formerly known as Ultratech/Cambridge Nanotech—shipped its 500th Atomic Layer Deposition (ALD) system to the North Carolina State University. The Veeco CNT Fiji G2 ALD system will enable the University to perform research for next-generation electronic devices including wearables and sensors. Veeco announced the overall acquisition of Ultratech on May 26 of this year. Executive technologists from Veeco discussed the evolution of ALD technology with Solid State Technology in an exclusive interview just prior to SEMICON West 2017.

Professor Roy Gordon from Harvard University been famous for decades as an innovator in the science of thin-film depositions, and people from his group were part of the founding of Cambridge Nanotech in 2003. Continuity from the original team has been maintained throughout the acquisitions, such that Veeco inherited a lot of process know-how along with the hardware technologies. “Cambridge Nanotech has had a broad history of working with ALD technology,” said Ganesh Sandaren, VP of Veeco CNT Applied Technology, “and that’s been a big advantage for us in working with some major researchers who really appreciate what we’re providing.”

The Figure shows that the company’s ALD chambers have evolved over time from simple single-wafer thermal ALD, to single-wafer plasma-enhance ALD (PEALD), to a large chamber targeting batch processing of up to ten 370 mm x 470 mm (Gen2.5) flat-panels for display applications, and a “large area” chamber capable of 1m x 1.2m substrates for photovoltaic and FPD applications. The large area chamber allows customers to do things like put down an encapsulating layer or an active layer such as buffer materials on CIGS-based solar cells.

Evolution of Atomic-Layer Deposition (ALD) technology starts with single-wafer thermal chambers, adds plasma energy, and then goes to batch processing for manufacturing. (Source: Veeco CNT).

Evolution of Atomic-Layer Deposition (ALD) technology starts with single-wafer thermal chambers, adds plasma energy, and then goes to batch processing for manufacturing. (Source: Veeco CNT).

“There a tendency to think that ALD only belongs in the high-k dielectric application for semiconductor devices, but there are many ongoing applications outside of IC fabs,” reminded Gerry Blumenstock, VP and GM of MBE business unit and Veeco CNT. “Customers who want to do heterogeneous materials develop can now have MBE and ALD in a single tool connected by a vacuum cluster configuration. We have customers today that do not want to break vacuum between processes.” Veeco’s MBE tools are mostly used for R&D, but are also reportedly used for HVM of laser chips.

To date, Cambridge Nanotech tools are generally used by R&D labs, but Veeco is open to the possibility of creating tools for High-Volume Manufacturing (HVM) if customers call for them. “Now that this is part of Veeco, we have the service infrastructure to be able to support end-users in high-volume manufacturing like any of the major OEMs,” said Blumenstock. “It’s an interesting future possibility, but in the next six months to a year we’re focusing on improving our offering to the R&D community. Still, we’re staying close to HVM because if a real opportunity arose there’s no reason we couldn’t get into it.”

In IC fab R&D today, some of the most challenging depositions are of Self-Assembled Monolayers (SAM) that are needed as part of the process-flow to enable Direct Self-Assembly (DSA) of patterns to extend optical lithography to the finest possible device features. SAM are typically created using ALD-type processes, and can also be used to enable selective ALD of more than a monolayer. Veeco-CNT is actively working on SAM in R&D with multiple customers now, and claim that major IC device manufacturers have purchased tools.

At the leading edge of materials R&D, researchers are always experimenting with new chemical precursors. “Having a precursor that has good vapor-pressure, and is reactive yet somewhat stable is what is needed,” reminded Sundaram. “People will generally chose a liquid over a solid precursor because of higher vapor pressure. There are many classes of precursors, and many are halogens but they have disadvantages in some reactions. So we see continue to move to metal-organic precursors, which tend to provide good vapor-pressures and not form undesirable byproducts.”