Category Archives: Vacuum

Solid particles in the abatement exhaust must be properly managed, and in some cases, substantially reduced from the gas stream before it is released into the environment.

BY CHRIS JONES, Edwards Vacuum, Ltd., Clevedon, U.K.

Many semiconductor manufacturing processes create solid particles in the process exhaust. Like other exhaust contaminants, these must be properly managed, and in many cases, removed from the stream before it is released into the environment. The permitted release levels vary for particles of different sizes and compositions, depending on their toxicity or potential to damage the environment. Regulations governing particle releases are evolving rapidly. However, the management of particulate flows in process exhaust is also important due to its potential impact on the process itself. Left unmanaged, particulate accumulations can result in shut downs for unplanned maintenance, excessive and premature wear and costly repairs, all of which directly affect the profitability of the manufacturing operation.

Solids may be formed in the exhaust stream of a semiconductor manufacturing process from a number of sources. One important source, though not the focus of this discussion, is the condensation of process gases in vacuum pump exhausts. If not controlled with a thermal management system (e.g. Smart TMS, Edwards) that maintains the pipe surfaces at a sufficiently high temperature, this condensation can quickly accumulate and force a halt to the manufacturing process. This article will discuss issues further downstream in the abatement process, where toxic volatile compounds are converted to more benign forms, some of which form solid particles that must then be removed from the exhaust gases. Many of these solids are oxides formed when gases, such as tungsten hexaflu- oride, silane, organo- and halo- silanes and others, are exposed to heat, air, and water. The particles are typically amorphous, i.e. non-crystalline. Many abatement processes use combustion to supply the heat needed to decompose toxic compounds and chemically convert them to a more harmless form. The particles thus formed have varying sizes and may be hydrophilic (formed from halosilanes), hydrophobic (formed from organsilanes) or mixed (mixed chlorides or silicon, aluminum and boron, for example), depending on the species combusted and the nature of the combustion process. Particle sizes can range from tens of nanometers to tens of microns. As shown in FIGURE 1, the size of the particles depends on, among other factors, the length of the combustion flame. Longer flames maintain the components at high temperature for a longer periods and result in the formation of larger particles.

FIGURE 1. A longer flame maintains the combusting components at higher temperature for a longer time and results in the formation of larger particles.

FIGURE 1. A longer flame maintains the combusting components at higher temperature for a longer time and results in the formation of larger particles.

The behavior of particles once released into the environment varies depending on their sizes. Coarse particles, with diameters ranging from 2.5μm to 10μm, result largely from processes such as erosion, agriculture, or mining and include crustal dust, pollens, fungal spores, biological debris and sea salt. Because of their large size, these particles persist in the atmosphere for only a few hours or days. Fine particles, which range from 2.5μm to 0.1μm and include the particles of concern in semiconductor manufacturing exhaust, may be the direct result of a combustion processes or may also be formed by photochemical reactions between volatile organic compounds (VOC) and oxides in the presence of sunlight. Fine particles can stay suspended in ambient air for days to weeks. Ultrafine particles, less than 0.1μm, are generated by high temperature combustion or formed from the nucleation of atmospheric gases. Ultrafine particles are quickly removed from the atmosphere (minutes to hours) via diffusion to surfaces or coagulation, adsorption and condensing into fine particles.

Regulatory environment

Regulations governing the release of particles into the atmosphere are developing quickly worldwide as scientists expand their knowledge of the particles’ impacts on health and the environment. In addition to regulations governing emissions by particle size, there are specific regulations regarding especially harmful species, such as heavy metals, carcinogens and toxics. For example, the presence of an adsorbed species, like hydrofluoric acid (HF), on oxide particles increases the toxicity of the parent material.

In 2013 the United States Environmental Protection Agency specified an average daily limit of 150μg/m3 for coarse particles and 35μg/m3 for fine particles, and an average annual limit of 12μg/m3 for fine particles (down from 15μg/m3 in 2006). China, as of 2012, imposed limits based on both particle size and type, with permitted daily levels for coarse particles of 50μg/m3 and 150μg/m3 for type I and type II, respectively and 35μg/m3 and 75μg/m3, respectively for fine particles. China also limits annual averages for both sizes and types. The European Commission, the World Health Organization and the Australian National Environmental Council, among others, all specify their own limits. It is clearly incumbent on manufacturers to know and satisfy their local regulations. [1]

Health considerations

The health of employees in manufacturing facilities and people living near manufacturing operations is clearly a high priority for our industry. Epidemiological studies have provided plausible evidence that exposure to particulate material (PM) can impact health in a number of ways, including pulmonary and systemic inflammation, oxidative stress response, protein modification, stimulation of the autonomic nervous system, exaggerated allergic reactions, pro-coagulation activity, and suppression of immune response in the lungs.

Some studies have provided good news as well, specifically, that the amorphous silica particles produced during the abatement of gases used in semiconductor manufacturing have much less impact on lung function than the crystalline silica particles more often encountered in mining and building industries. These studies looked specifically at the effects of pure silica particles, an important caveat. Silica and other dusts that may have acids, such as HF, adsorbed on the particle surface constitute substantially greater health risks than the simple oxide. Other particulate oxides also represent serious health challenges. These include oxides of antimony, arsenic, barium, chromium, cobalt, nickel, phosphorus, tellurium and selenium.

Abatement performance

Just as condensed material deposited in the vacuum lines can shut down the production process, the accumulation of combustion-generated particulates can degrade the performance of the whole facility. In a typical point-of-use (POU) abatement system, after combustion the exhaust gases pass through a series of operations designed to remove particulates and other by-products. In the example shown in FIGURE 2 these include a water weir, quench tanks, a packed-bed scrubber and an atomized spray. Atomizing spray systems, in particular, have been shown to improve solids removal performance from 50 to 75 percent. Blockages can occur at the damper, in duct spurs leading from the abatement to the main duct, in the main duct, before or within the scrubber. In addition to blockages, failure to remove particulate at the primary abatement unit can also lead to environmental discharges and visible plumes at stacks. Any blockage will result in a process shutdown for system maintenance, lasting from a few hours to an entire day.

FIGURE 2. The accumulation of combustion generated particulates can degrade abatement system performance.

FIGURE 2. The accumulation of combustion generated particulates can degrade abatement system performance.

Mitigation options

A number of approaches exist for removing particulates downstream of the abatement system. One solution does not fit all and it is important to pick the one that best addresses the specific challenges. FIGURE 3 shows performance characteristics for various technologies. For example, highly toxic particles may require much higher removal rates than less harmful particles.

FIGURE 3. Performance characteristics for various particle removal technologies downstream of the abatement system. Courtesy: Waste-to-Energy Research and Technology Council (greyed out area not relevant to solids).

FIGURE 3. Performance characteristics for various particle removal technologies downstream of the abatement system. Courtesy: Waste-to-Energy Research and Technology Council (greyed out area not relevant to solids).

Edwards’ standard solution (FIGURE 4) for POU removal of fine particles is a wet electrostatic precipitator (WESP). A WESP uses electrostatic forces to remove particles. It requires power, water and pneumatics and can remove up to 95 percent of silica particles at flow rates of 1m3/ min, 85% at 2m3/min. WESP technology can be scaled to handle an entire facility. In one example, Edwards partnered in the installation of a large scale dual WESP integrated with a packed-bed wet scrubber and designed it to meet the specific challenges of arsenic abatement. The system ultimately demonstrated a 99 percent removal rate to meet the stringent requirements of the Chinese government for this highly toxic substance.

FIGURE 4. POU WESP uses electrostatic forces to remove particulates from the exhaust stream. It can remove up to 95 percent of silica particles at a flow rate of 1m3/min.

FIGURE 4. POU WESP uses electrostatic forces to remove particulates from the exhaust stream. It can remove up to 95 percent of silica particles at a flow rate of 1m3/min.

Alternative technologies that may be appropriate, but have not been evaluated for use in the management of waste gases from semiconductor manufacturing, are the Rotoclone family (from AAF International). POU units handle flow rates of 30m3/min, removing >97 percent of 1μm particles and >99.8 percent of 10μm particles. Duct-based Rotoclones with flow rates up to 1250m3/ min remove as much as 86 percent of 1μm particles and 99 percent of 10μm particles. Rotoclones require power, water, pneumatics and a drain.

More conventionally, a Venturi scrubber can be configured for various flow and removal rates. As a rule, smaller units controlling a low concentration waste stream will be much more expensive per unit of volumetric flow than larger units cleaning high pollutant-load flows. Venturi scrubbers can handle mists and flammable or explosive dusts. They have relatively low maintenance requirements, are simple in design and easy to install. Their collection efficiency can be varied. They can cool hot gases and neutralize corrosive gases. They are susceptible to corrosion and must be protected from freezing. Treated gases may require reheating to avoid a visible water plume. The collected particulate material may be contaminated and not recyclable, requiring expensive disposal of the waste sludge.

Filtration is another alternative for particle removal. It is normally restricted to the management of dry dusts at flow rates of 5 to 250m3/min. Removal rates higher than 99.9 percent are achievable. We have seen a limited number of large filter installations for the removal of hydrophobic silica solids at relative humidities as high as 80 percent. It is not clear how the presence of hydrophilic powder might impact the performance of these facilities.

In cases of highly toxic particles, high efficiency air particle (HEPA) filters can provide very high removal rates, higher than 99.999 percent. However, HEPA filters are appropriate only for very low contaminant concentrations. Edwards has been partnering with third-party suppliers regarding HEPA filtration for highly toxic dusts such as those generated during arsine management. These solutions are often used for highly toxic materials so they are often designed with bag-in-bag-out capability to eliminate potential exposure of maintenance personnel to the removed contaminants. Typically, these critical installations are also designed as dual systems with auto turnover to allow continuous operation of one system while the redundant system is serviced. HEPA technology can scale from POU to full facility.

Conclusion

All of these technologies are available now, but not all have been demonstrated in semicon- ductor manufacturing. Semiconductor manufacturers have long used POU WESPs and Venturi scrubbers and are very familiar with HEPA filtration systems, but primarily for particulate removal for air conditioning. Conventional filters are in operation on flat panel display exhausts (mainly on burner only dry abated CVD processes). Some of the technologies we have described, however, have not been proven in semiconductor applications, but are well developed and widely accepted in other industries. Rotoclone systems, for instance, are UL and CE certified, but have not been SEMI qualified. As semiconductor manufacturing processes continue to evolve, it will behove manufacturers to stay current on available technol- ogies and consider alternatives as performance and cost requirements dictate.

References

1. Review of the health impacts of emission sources, types and levels of particulate matter air pollution in ambient air in NSW; December, 2015; Produced for the NSW Environment Protection Authority and NSW Ministry of Health, Environmental Health Branch.

On May 19th, NXP Semiconductors N.V. announced Busch Semiconductor Vacuum Group LLC as the Best Supplier for Front-end equipment (semiconductor equipment) at NXP’s first Supplier Day Awards ceremony in Austin, Texas. The inaugural award ceremony’s theme was ‘Partnering for Growth’. Eighty key suppliers in attendance represented all areas of the industry from materials and equipment to sub-con and indirect services.

This award is an example of how Busch and its employees focus their activities and efforts into the customer, and the recognition centers on the Busch Family’s seven keys of success business driving philosophy, including “continuous improvement and drive.”

This is evident to NXP as their President and CEO, Rick Clemmer, acknowledged, “We know that partnering with the right suppliers is a crucial element that allows us to better execute on our growth strategy.” Busch is honored and proud to be recognized by one of their partners in the semiconductor industry, NXP Semiconductors.

Integrated sub-fab systems allow HVM fab operators to safely and efficiently implement new processes containing hazardous process chemicals.

BY ANDREW CHAMBERS, Edwards Ltd., Clevedon, UK

The relentless scaling of structures and reduction in thermal process budgets that characterize state- of-the-art integrated circuit (IC) production have resulted in the incorporation of many complex and hazardous materials into high-volume manufacturing (HVM) processes. In order to meet the need to deposit these materials at ever-lower temperatures, many of the new process chemicals have low vapor pressures, are highly reactive and present serious hazards to personnel and equipment. Many new CVD precursors and their associated reaction by-products are flammable, pyrophoric, toxic (harmful-to-health), corrosive or otherwise hazardous to personnel or destructive to equipment, and have a tendency to condense in pipe-work, including process exhausts.

In this article we will review the risks associated with these materials and describe methods for mitigating process exhaust pipe hazards in high-volume manufacturing. In particular, we will describe an approach based on the integrating vacuum pumps and point-of-use abatement systems with essential safety devices and monitoring systems into a complete sub-fab vacuum and abatement solution. Such modular integrated sub-fab systems ensure safe system operation, including mitigation of process exhaust hazards, and reduce exposure of service staff to hazardous materials.

Process gas and reaction product hazards

Clearly, exposure of staff and equipment to hazardous chemicals leaking from process exhausts is a serious concern and careful attention to the design, control, safety qualification and maintenance of process exhaust systems is essential in configuring a safe and reliable sub fab operations.
The properties of process chemicals may be altered significantly as they pass through a process tool, and reaction products found in process tool exhausts may differ markedly from the original process precursors. For example, while high flows of tetraethylorthosilicate (TEOS) are widely used in CVD processes for deposition of silicon oxide films, the concentration of residual unreacted TEOS in a CVD process tool exhaust is minimal [1]. Instead, the TEOS is decomposed in the process chamber to form a greater volume of mixed hydrocarbon gases (ethene and ethanol, for example [2]), which are then pumped out of the process chamber into the process exhaust. When the safety of process exhausts is evaluated in the design of protective measures, interactions and transformations of process gases such as this must be considered carefully.

Deposition of hazardous materials in exhausts

In some cases, the process by-products which pass into the exhaust pipe are condensable. Frequently encountered condensable by-products include aluminum chloride (AlCl3) in metal etch, ammonium chloride (NH4Cl) in LPCVD nitride, and ammonium hexafluorosilicate ((NH4)2(SiF6)) in PECVD nitride. Several of these condensates have also been found to incorporate partly-reacted hazardous materials. For example, partly- reacted silicon-containing compounds which condense in exhaust pipes during a PECVD process may react violently with fluorine gas which flows through the exhaust pipe during a subsequent chamber cleaning process. This has caused exhaust pipe fires and serious equipment damage in a number of cases (FIGURE 1).

Screen Shot 2016-03-30 at 12.35.45 PM

In addition to the reactivity hazard posed by these materials, accumulation of condensed material during processing can block exhaust pipes, causing process tool downtime and possibly loss of production. Furthermore, the reaction of condensed fluorine- or chlorine-containing materials with atmospheric water vapor during removal and cleaning of exhaust pipes can release HF or HCl gas or other hazardous substances, posing a serious risk to service staff and requiring preventive measures.

A particularly serious example of harmful deposited materials in exhaust pipes is the condensation of extremely reactive polysiloxane materials in Si epi or Si-Ge epi exhausts [3]. These materials are particularly hazardous since they can react unpredictably and violently (explosively) on exposure to water vapor or air, or if they suffer a mechanical shock when the exhaust pipes are removed for cleaning. The consequences of process gases escaping through leaks in exhaust pipes and the tendency of materials to condense in process exhaust pipes should be carefully considered when a process exhaust system is designed. Indeed, the exhaust pipe should be considered as an important functional element of the whole sub-fab process tool support system, otherwise there may be increased risks of staff injury and process tool downtime.

Leak integrity of process exhausts

Escape of process gases or reaction products from leaking process exhaust pipes presents serious risks to fab operations. For example:

• Flammable gas escaping from exhaust pipes may mix with air in closed spaces to create a fire risk
• Toxicgasesleakingoutofnon-enclosedexhaustpipes present an injury risk to fab personnel
• Corrosive gases leaking out of non-enclosed process exhausts can harm personnel and cause severe damage to fab equipment
• Process gas odors may cause complaints from fab staff or local residents

Typically, area gas detectors are deployed in fabs to warn of process gas leaks. These are very effective in detecting escaping process gas, but when they are activated process operations are interrupted and fab output affected. Furthermore, gas detectors cannot detect inward leaks into reduced pressure pump exhausts, such as air entering exhaust pipes where it could mix with flammable process gases to form flammable mixtures. In the worst case, a flammable process gas / air mixture could be ignited by a local ignition source, such as a dry-pump or point-of-use abatement system, and cause an exhaust pipe fire.

Configuring the vacuum/abatement/exhaust components as a single coherent system can increase staff safety and manufacturing efficiency by reducing the risk of hazardous process gas escape and ensuring appropriate action if a leak is detected In particular, integrated sub fab systems enable the use of extracted secondary enclosures around vacuum pumps, point-of-use abatement systems, fuel gas delivery systems and all interconnecting pipework to contain escaping gas, while ownership, maintenance and integrity of the process exhaust pipes becomes the responsibility of the system supplier, rather than remaining undefined.

Exhaust dilution

A standard safety precaution widely used to avoid the possibility of fires in process exhausts is the dilution of flammable gases below their Lower Flammable Limit (LFL). However, there are risks with this strategy. Considering the previously cited example, if the required dilution flow is calculated based only on the volume of TEOS gas in the exhaust pipe, it will be insufficient to dilute the larger volume of hydrocarbon decomposition products below their LFL. A related risk is formation of a flammable mixture in the exhaust if there is an air leak into the exhaust pipe coincident with the TEOS being decomposed by the process chamber.

As noted above, the process dry-pump and point-of- use abatement system are both ignition sources that could ignite the hydrocarbon / air mixture and cause an exhaust pipe fire.

To operate process exhausts containing flammable gases safely using this strategy, not only must the dilution flow be calculated appropriately, but the vacuum and abatement system controller must include a capability to shut off the flammable gas flow from the process tool if the dilution flow should drop below some critical level, or if a fire occurs in the exhaust pipe, as required by semiconductor industry safety standards such as SEMI S18 [4].

In recent times, the risks associated with flammable and pyrophoric gases have become more severe as highly reactive compounds such as disilane and trimethyl aluminum have become more widely used in CVD processes. Some of these materials have extremely low LFLs – for example, disilane has a published LFL of 0.2% [5], and trimethyl aluminum is known to be extremely flammable though specific LFL data appears not to be widely available [6]. This characteristic makes their dilution to safe levels costly and inefficient from an operational efficiency perspective. For example, the low LFL of disilane requires a very large volume of nitrogen required to dilute it to a safe level, increasing the direct cost of the nitrogen and putting additional load on the fab facilities. The resulting high gas flow in the process exhaust increases the total cost of abatement by requiring larger, more expensive equipment, more sub-fab floor space, and a higher utility consumption. Finally, the abatement efficiency of highly-diluted process gases may be degraded, creating an environmental concern if emissions of process gas that exceed permitted levels.

Temperature control of process exhaust pipes

The risks posed by the condensation of process by-products in exhaust pipes can be mitigated by controlling the temperature of the exhaust pipes at a suitably high value (FIGURE 2). Commercial products are widely available to perform this function, but when selecting a suitable system, its capability to maintain a uniform temperature throughout the exhaust system should be considered carefully – in particular, cold spots caused by inadequate thermal insulation or lack of adequate real-time temperature control can cause localized by-product condensation and pipe blockage. At the other extreme, if exhaust pipes are heated to an excessively high temperature, unused CVD precursors may react, depositing solid materials in the exhaust pipe. Ideally, temperature will be actively and precisely controlled within a specified range.

Screen Shot 2016-03-30 at 12.35.52 PM

Integrated sub-fab systems

Integration of the process exhaust pipe assemblies together with dry-pumps and point-of-use abatement into a complete sub-fab system by the equipment manufacturer permits an optimization of safety, performance, efficiency and cost that cannot be achieved in the installation of discrete units by individual suppliers.

A typical integrated sub-fab system is designed to incorporate dry-pumps, point-of-use abatement systems, exhaust pipe assemblies, temperature management systems (TMS), together with all necessary safety devices, into a single entity which also includes a supervisory control system and all process tool and fab interfaces. Since all individual functional elements are integrated into a single unit, typically only one connection for each fab utility is required – not only does this reduce the overall installation cost of the sub-fab equipment, it also occupies less valuable sub-fabspace.Each such integrated system is typically used to support a single process tool, and is usually designed to fit conveniently within the “shadow” of the process tool in the sub-fab.

This close integration of the individual sub-fab functional elements into a unified system enables a reduction in risks associated with exhaust pipe leaks by continuously monitoring the leak status of the exhaust pipes, by monitoring the air extraction rate in secondary enclosures, and by monitoring the temperature and pressure in the process exhaust pipes. In the event of an excursion by any of these parameters into a critical condition, an integrated system can be designed to initiate shut-down of the process gas through its interfaces to the process tool, and alert the fab MES through its interface to a central monitoring system (CMS). Furthermore, real-time collection and processing of data from all the functional elements in the integrated system allows events leading up to previous alerts to be analyzed. Predictive algorithms can then be developed that can enable the CMS to antic- ipate or predict future failure events.

Provided the safety features of an integrated sub-fab system are properly designed, including those which specifically monitor the condition of the exhaust pipes, it becomes practical to reduce dilution rates of flammable gases safely, leading to significant reductions in required abatement capacity, capital equipment investment, utilities consumption and total operating costs in a high volume manufacturing environment (FIGURE 3).

Screen Shot 2016-03-30 at 12.35.59 PM

Implementation of Best Known Methods (BKMs)

Integrated sub-fab systems are typically built, installed and serviced by a single supplier, who takes responsibility for the complete system design, including all necessary safety functions and external interfaces. Safe sub-fab system operation is normally assured by a comprehensive safety assessment of the integrated system design and by compliance with global semiconductor industry safety standards such as SEMI S2 [7].

However, to ensure the most efficient operation it is also necessary to set-up the sub-fab system according to a Best Known Method (BKM) for each process tool. Application of process BKMs ensures that each integrated sub-fab system is fit-for-purpose to meet the specific require- ments of its allocated process tool, and shortens the time required to qualify the tool for process. Typically, sub-fab equipment suppliers use know-how based on experience of similar processes in other HVM facilities to define their own BKMs and set-up equipment properly. Once an integrated system is operational, service support, applications support and continuous improvement programs (CIP) are all available from a single source which ensures that all critical safety systems are properly maintained and comply with the latest BKMs (FIGURE 4).

Screen Shot 2016-03-30 at 12.36.06 PM

Summary

The concept of integrated sub-fab systems is a valuable tool that allows HVM fab operators to safely and efficiently implement new processes containing hazardous process chemicals. The integrated function- ality and comprehensive safety systems guard against hazardous process gas escape, leakage of air into exhausts containing flammable gas, and condensation of a wide range of hazardous materials in exhaust pipes. Collectively these attributes enable the safest and most efficient sub-fab operation for HVM.

References

1. Edwards Ltd. – unpublished data
2. M.G.M. Van Der Vis, E. Cordfunke, R. Konings: The thermody-
namic properties of Tetraethoxysilane and an infra-red study of its decomposition, Journal de Physique IV, 1993, 03 (C3), pp.C3-75-C3-82
3. Safety Applications Procedure SAP 00-01 – Pumping Reduced Pressure Epitaxy (RP Epi) Applications, Edwards Ltd.
4. SEMI S18-0312 Environmental, Health and Safety Guideline for Flammable Silicon Compound, SEMI
5. MSDS #1038 (Disilane) Air Products, Pub #320-708
6. MSDS #257222 (Trimethylaluminum) Sigma-Aldrich product
#257222
7. SEMI S2-0715, Environmental, Health and Safety Guideline for
Semiconductor Manufacturing Equipment, SEMI

ANDREW CHAMBERS currently holds the position of Senior Product Manager at Edwards Ltd., Clevedon BS21 6TH, UK [email protected]

Edwards announced the availability of two new vacuum pump product families at SEMICON China: the iXM Series for semiconductor etch and chemical vapor deposition (CVD) applications, and the iXL900R for fast pump down of large flat panel display (FPD) loadlock chambers.

“Edwards is committed to reducing carbon emissions and energy consumption. Both of these new product families help our customers reduce the environmental impact of their manufacturing operations, while also helping them to reduce costs,” states Paul Rawlings, Vice President Marketing, Semiconductor and DSL Business, Edwards.

Edwards iXL900r

The new iXM Series of dry pumps reduces environmental impact and customer costs for etch and CVD processes, allowing them to run their latest processes with the lowest energy consumption and at the lowest ambient noise level. The pumps are designed to deliver increased lifetime when used for highly corrosive etch processes. They also offer a significantly lower footprint compared to other pumps on the market.

The iXL900R is the fastest loadlock pump currently available in its class for FPD loadlock applications. Customers can significantly reduce their operating costs and installation times with this new pump, which is particularly well suited to the largest loadlock chambers used for plasma vapour deposition (PVD) applications. In addition, customers will need fewer pumps per loadlock chamber, which reduces installation time, systemisation costs, maintenance and utilities consumption.

“We are proud to offer our customers new solutions that help them reduce their energy use. By some estimates, as much as 40 percent of energy used in a semiconductor factory is consumed by vacuum pumps, so even a minor reduction in this area can have a significant effect on total energy consumption,” states Ma Zhen, Edwards’ China-based Applications Manager. “Our latest vacuum pumps offer improvements in overall energy efficiency and savings from a unique idle mode that reduces energy usage when full power is not required.”

Zhen adds, “Currently China’s government-sponsored incentives provide manufacturers with an opportunity to upgrade their capability and reduce energy consumption with minimal investment. One customer recently replaced 200 pumps under the program, achieving a total energy savings of 7,000 Kwh per day.”

For further information about Edwards’ products and services, please visit www.edwardsvacuum.com, or visit Edwards at SEMICON China, booth N1 1008.

Pfeiffer Vacuum, a global supplier of vacuum technology, will be exhibiting at the SEMICON Korea Trade Fair in Seoul from January 27 to 29 and Semicon China in Shanghai from March 15 to 17. Visitors to the booth can discuss innovative vacuum solutions with experts from Pfeiffer Vacuum.

“We are pleased to present important new vacuum solutions at the trade fairs SEMICON Korea and SEMICON China. In an increasingly changing competitive environment, Pfeiffer Vacuum is ideally set up as a rock-solid company, acting in the global arena as a reliable, long-term allround provider of vacuum technology for customers all over the world,” said Eric Taberlet, President of the Business Unit, Semiconductor & Coating.

A4 series of dry pumps

The dry, multi-stage Roots pumps in the A4 series provide pumping speeds of 100 to 2,300 m3/h. These energy-efficient and reliable pumps are ideal for use in demanding processes in the semiconductor and coating industries. With corrosion resistant materials and a high gas throughput, this pump series is optimally suited for use in CVD processes, for example.

Turbopump HiPace 2800 IT

With the HiPace 2800 IT Pfeiffer Vacuum presents a turbopump especially dedicated for ion implantation applications. The sophisticated rotor design of the turbopump results in an optimized pumping speed for light gases. This ensures very good process adaption for ion implantation processes, were hydrogen is the most accumulating gas. With 2,750 l/s pumping speed for hydrogen the new HiPace 2800 IT is the best turbopump in its class.

The intelligent temperature management system prevents process condensation and deposition inside the pumping system. It allows setting the temperature individually to ideally support the process. The special coating of the rotor ensures robustness against all ion implantation process materials. Being based on a so-called hybrid bearing, a combination of ceramic ball bearings on the fore-vacuum side and permanently magnetic radial bearings on the high vacuum side, these HiPace turbopumps have a particularly robust bearing design. Together with the efficient coating, this forms the basis for the long life cycle and maximum up-time of the pumps.

Magnetically levitated turbopumps ATH 2804 M and ATH 3204 M

The ATH-M pumps offer a gas throughput of over 5,000 sccm of nitrogen for non- heated applications. They also allow a very high throughput with up to 1,500 sccm of argon and a pump operating temperature of 65 °C in corrosive applications. The pumps operate at high temperatures up to 85 °C for use in the most aggressive applications with by-product deposition sensitive processes. These pumps include integrated drive electronics to ensure a small footprint and easy plug-and-play installation. The total height of the DN 320 flange model is less than 400 mm. Due to the new electronics, the pumps can be started and stopped within 8 minutes. Active magnetically levitated bearings and automatic out-of-balance compensation enable wear-free and low vibration operation of the ATH-M pumps. They are maintenance- free and require no lubrication. Continuous rotor stability is assured for reliable performance. Low power consumption at nominal speed and very low cooling water consumption (1 l/min) also characterize the new ATH-M pumps.

Cryogenic Vacuum Pumps


December 16, 2015

Cryopumps are commonly cooled by compressed helium, though they may also use dry ice, liquid nitrogen, or stand-alone versions may include a built-in cryocooler. Baffles are often attached to the cold head to expand the surface area available for condensation, but these also increase the radiative heat uptake of the cryopump. Over time, the surface eventually saturates with condensate and thus the pumping speed gradually drops to zero. It will hold the trapped gases as long as it remains cold, but it will not condense fresh gases from leaks or backstreaming until it is regenerated. Saturation happens very quickly in low vacuums, so cryopumps are usually only used in high or ultrahigh vacuum systems.

The cryopump provides fast, clean pumping of all gases in the 10−3 to 10−9 Torr range. The cryopump operates on the principle that gases can be condensed and held at extremely low vapor pressures, achieving high speeds and throughputs. The cold head consists of a two-stage cold head cylinder (part of the vacuum vessel) and a drive unit displacer assembly. These together produce closed-cycle refrigeration at temperatures that range from 60 to 80K for the first-stage cold station to 10 to 20K for the second-stage cold station, typically.

Regeneration of a cryopump is the process of evaporating the trapped gases. During a regeneration cycle, the cryopump is warmed to room temperature or higher, allowing trapped gases to change from a solid state to a gaseous state and thereby be released from the cryopump through a pressure relief valve into the atmosphere.

Most production equipment utilizing a cryopump have a means to isolate the cryopump from the vacuum chamber so regeneration takes place without exposing the vacuum system to released gasses such as water vapor. Water vapor is the hardest natural element to remove from vacuum chamber walls upon exposure to the atmosphere due to monolayer formation and hydrogen bonding. Adding heat to the dry nitrogen purge-gas will speed the warm-up and reduce the regeneration time.

Vacuum Pumps


December 11, 2015

Many semiconductor manufacturing process steps require a mid- to high-level of vacuum in the process chamber to operate effectively. The optimum pumping package for each application depends on the type and amount of gases to be pumped (which are typically reaction byproducts or purge gas), the chamber size, pumping speed required and ultimate pressure required.

These include CVD, silicon epitaxy, plasma etch, ion implantation, PVD/sputtering and plasma stripping. Gas loads vary from a few sccm in ion implant systems to over a hundred sccm in CVD and etch.

Typically wafers are transferred to the chamber through a load-lock. So-called “roughing” pumps — which can be rotary vane, dry pumps (claw, screw or scroll) or “Roots blowers” – are used to take the pressure from atmospheric pressures to around 10-2 to 10-3 Torr. Then high vacuum pumps, typically turbomolecular pumps or cryogenic pumps take over to achieve process-level pressure. Roughing pumps are often located in the sub-fab below the tool. Turbos and cryos are often located very close to the process chamber, inside the tool, but can also be located in the sub-fab.

Turbo pumps use rapidly spinning blades to impart direction to gas molecules, propelling them through multiple stages of increasing pressure. Magnetic bearings are used to levitate the pump drive shaft and eliminate the need for lubricating oil.

The latest primary pumping mechanism to be introduced is the regenerative pump, which uses a single, high-speed rotor to impart momentum to the gas, compressing it through several stages to atmospheric pressure.

Additional Reading

Fundamentals of Vacuum Technology

Current and future trends in vacuum process technology

ULVAC, Inc. announced that it has recently developed and started selling the ECO-SHOCK ES4A, a power saving accessory for dry vacuum pumps that can reduce power consumption substantially by attaching to the dry vacuum pump exhaust line.

Dry vacuum pumps consume particularly large amounts of electricity in production lines. Therefore, it is important to reduce their power consumption. ULVAC has already released the ECO-SHOCK ES10, which reduces power consumption when attached to a dry vacuum pump exhaust line. However, it has been difficult to reduce power consumption of dry vacuum pumps that are used for frequent pumping down of loading/unloading chambers of vacuum systems and use large amounts of sealing gas. To resolve this difficulty, ULVAC has launched the ECO-SHOCK ES4A.

Features:

  • The ECO-SHOCK ES4A makes possible a substantial reduction in power consumption of dry vacuum pumps used for the following purposes: Dry vacuum pumps that are used for frequent pumping down of loading/unloading chambers; dry vacuum pumps that use large amounts of sealed gas.
  • There is no degradation of pumping speed because any control such as rotation speed adjustment is required when attaching it to dry vacuum pump. Also, even if the ES4A was broken down, there is no decrease in performance of dry vacuum pump.

The ECO-SHOCK ES4A can be attached to dry pump exhaust lines that have already been installed. It can be used to pump down air, nitrogen, argon and other stable and safe gases. However, it cannot be used in applications such as flammable, burn ability and toxic gas exhausts, or for solid/fluid suction. It can also be used for a dry vacuum pump, which does not affect performance when making exhaust port under vacuum.

By Pete Singer, Editor-in-Chief

Opportunities for cost savings abound in the “sub-fab” of semiconductor operations where the vacuum pumps and gas abatement systems

Dr. Michael Czerniak, Environmental Solutions Business Development Manager, at Edwards Ltd.

Dr. Michael Czerniak, Environmental Solutions Business Development Manager, at Edwards Ltd.

reside. Typically, these systems are running full tilt, no matter what’s going on in the process tool.

In a case where the cobbler’s children may finally be getting new shoes, work is underway to improve the communication between sub-fab equipment and process tools so that fuel in gas abatements systems can be turned off if there’s nothing to abate, and vacuum pumps can be throttled back or slowed if there’s nothing to pump.

“If you have equipment that is enabled with this capability, you can access these savings by essentially turning down the power or the fuel gas consumption when they’re not actually required for chip processing, said Dr. Michael Czerniak, Environmental Solutions Business Development Manager, at Edwards Ltd.

Czerniak gave a talk at 2:00pm on Tuesday at SEMICON West as part of the Sustainable Manufacturing Forum. The forum, held on Tuesday in Moscone North, Hall E, Room 132 from 10:00am to 5:00pm, allows experts to share the latest information on the environmental and social impacts of advanced technologies that are likely to be introduced into semiconductor manufacturing in the near future.

At SEMICON West in 2014, Czerniak was honored with SEMI’s Merit Award, along with Daniel Chlus (IBM) and Lance Rist (RistTex). The trio, were part of the Energy Saving Equipment Communication Task Force responsible for developing new standards designed to help reduce energy consumption in production equipment, specifically the SEMI E167 standard.

While production equipment and support equipment are all capable of reduced utility consumption, implementation has been slow due to lack of a standard.

SEMI’s E167 solved one piece of the puzzle – enabling the factory host to tell the process too that there are no wafers coming, for example – another standard is needed for the tool to communicate with sub-fab equipment that it, too, can power down. That is where a new standard, SEMI S23 comes in. “Once the tool has decided it doesn’t need pumps and abatement for the next 45 minutes or so — whatever it decides — it can then cascade that message down to the subfab where the energy savings will actually take place,” Czerniak explained.

At SEMICON West, a working group of the SEMI S23 task force is preparing additions to the Related Information section of SEMI S23 to provide for suggested utility-consumption test conditions and report formats for some components and peripheral equipment commonly used in semiconductor manufacturing equipment systems.

The components initially considered are dry vacuum pumps, refrigerated chillers and heat exchangers, although other components such as process power equipment may be considered soon. Also under discussion is the inclusion of Related Information for the application of efficiency rating systems for components and peripheral equipment. The goal of the working group is to produce suggested new Related Information in SEMI S23 for consideration on a future SEMI Standards Ballot.

“We’re working pretty hard as part of a SEMI standards committee – to get standardized signaling for that sort of information – so that all pump and abatement suppliers can get access to signals that allow them to do these energy savings,” Czerniak said.

Czerniak said this will work best in a new facility, once the tools have the ability to communicate directly with the pumps and abatement systems. In a retrofit scenario, it can be a challenge to get those signals. “We’re talking about getting signals derived from loadlock pumps,” he said.

In practice, it may be impossible to actually turn off vacuum pumps completely, particularly those that are pumping byproducts that tend to condense inside the pump. “You generally don’t want to switch them off due to the risk of not being able to restart them. In those cases, what you do is typically reduce the frequency at which you spin them and save maybe 10-15% of the running power. To get them back to full speed and full operating temperature isn’t such a long period of time,” Czerniak said.

On the other hand, with gas abatement systems, particularly those that burn fuel (i.e., natural gas) to destroy the byproducts, it’s possible to shut them to near zero. “In our case, we usually just leave them running on a pilot flame. They come back on line in tens of seconds, and you save about 90% of your fuel gas. There are very significant savings,” Czerniak said. “At the same time, you also save on your CO2 footprint. It gets to be quite an important factor when people do CO2 audits of their manufacturing process so they can put green stickers on their end products.”

This has been the focus of one of the working groups in the European EEM450PR project, which is focused on 450mm tool developed (similar work is underway at the G450C Consortium in Albany).

In his talk on Tuesday, Czerniak described those models that were constructed as part of the EEM450PR project to simulate the impact of green modes, at various levels of wafer inactivity, initially for 300mm, and then extended for a hypothetical 450mm fab. It was also noted that additional savings would be possible in the facility, e.g. reduced process cooling water when the pump and abatement thermal load is reduced. The model was then validated by looking at data from a HVM 300mm fab, simulating the effect of green modes (without actually implementing them), and also live green mode implementation on pumps and abatement at imec’s R&D lab in Europe.

A live demonstration was also conducted in the G450C Albany fab on some installed 450mm toolsets, as part of the complementary and collaborative engagement between the regions on the 450mm topic, in order to validate the assumptions for future 450mm fabs.

Design features that contributed most to the improved performance include increased rotational speed, integrated rotor sleeves, and increased purge injection temperature.

BY MIKE BOGER, Edwards Vacuum, Tokyo, Japan

The use of high-k dielectric films deposited through atomic layer deposition, primarily in batch furnaces, has intensified, particularly in the manufacture of memory devices and high-k metal gates (HKMG) in logic devices. ALD uses a sequential purge and injection of the precursor gases to generate slow, but accurate growth of the films one atomic layer at a time. One of the precusors is typically a metal organic compound from a liquid source, commonly zirconium or hafnium-containing materials, followed by ozone to create the high-k film.

Wafers are usually processed in a furnace with batch sizes of 200 or more wafers. Reliability of the vacuum system is imperative to prevent contamination and consequent scrapping of the wafers. Unexpected failures can cause significant loss of work in process and process downtime. For example, if the vacuum pump seizes suddenly due to internal contamination by process by-products, the pressure in the pipe between the vacuum and furnaces rises, and there is a risk that powder deposited in the pipe will flow back into the furnace. This powder can not only contaminate wafers in the furnace, but also force a time-consuming clean-up that may remove the furnace from operation for a day or more.

The challenge

The mean-time-between-service (MTBS) for a vacuum pump used in semiconductor manufacturing varies greatly depending on the particular process it supports and the design of the pump. For the ALD processes considered here most failures caused process by-products can be grouped into four categories.

  • Corrosion – Attack on the metal components of the pump results in the opening of clearances leading to loss of base vacuum. Depending on the location of corrosion, the oxidation of the metal may actually generate powder that can cause seizure of rotating elements.
  • Plating – The deposition of metal compounds on the surface of internal components fouls internal mechanism clearances, causing the pump to seize.
  • Powder ingestion – Powder that enters the pump can jam rotating elements, leading to seizure.
  • Condensation – Compounds in the pumped gas stream transition from a gaseous to a solid phase within the pump, depositing on internal surfaces and eventually leading to loss of clearance and seizure.

Monitoring of pump operating conditions, such as input power, current, and running temperature, can provide an indication of the health of the pump. Events that lead to failure are generally gradual in nature. Advance notice periods can be measured in days. However, failures of vacuum pumps on high-k ALD processes often happen suddenly with little to no indication of distress prior to seizure.

A typical example of a vacuum pump used on a high-k ALD process is shown in FIGURE 1. This pump was used in a full production environment and consisted of a 1,800 m3h-1 mechanical booster mounted above a 160 m3h-1 dry pump. In this case, the pump exhibited a strong spike in running power, approximately 20 times normal, and was immediately removed for inspection. Significant deposition is evident in the booster (Fig. 1 left) and also in the last stage of the dry pump (Fig. 1 right). Evidence of the loss of clearance that caused the spike in input power is observed as a shiny area on the rotor lobe. In operation this pump was exposed to TEMAH (hafnium-containing liquid precursor), TMA (aluminum-containing liquid precursor), and ozone for producing HfO2 and TMA Al2O3. It was exchanged after 1,200 hours of use.

ALD 1-A ALD 1-B

 

FIGURE 1. A picture of a disassembled pump after 1,200 hours of use on a high-k ALD process showing the deposition in the booster (left) and loss of clearance in the last stage of the dry pump (right). 

FIGURE 2 provides another example of a pump that was removed due to detection of a spike in input current. In this case, the booster, second stage, and final stage of the pump are shown. Although the process was nominally the same (deposition of HfO2 and Al2O3), the deposition pattern is different. In this case, the booster and early stages of the dry pump show signs of a thin coating of a material that exhibits a green iridescent sheen. The final stage of the pump has a brown powder accumulation, but of a lighter color than that shown in Fig. 1.

FIGURE 2. Pictures of a disassembled pump that was removed for inspection after only 457 hours due to a large current spike detected during operation. In order, the pictures show the booster, second stage of the dry pump, and the final stage of the dry pump.

FIGURE 2. Pictures of a disassembled pump that was removed for inspection after only 457 hours due to a large current spike detected during operation. In order, the pictures show the booster, second stage of the dry pump, and the final stage of the dry pump.

In both of the examples shown in Figs. 1 and 2, the service interval of the pump was short and below the user’s expectations. In these cases, which are representative of all the pumps used on this process, the user was forced to exchange pumps frequently to minimize the risk of wafer loss. Other customers had similar experiences. TABLE 1 lists the films deposited and the preventative maintenance service intervals implemented by four customers. Analysis of serviced pumps suggested that processes depositing zirconium oxide were more challenging for the pump.

Screen Shot 2015-02-10 at 5.30.54 PM

Analysis

To better understand the reliability improvement challenge, a sample of the deposited material from a failed pump was analyzed. The results of the analysis, shown in FIGURE 3, revealed deposits rich in carbon and metal oxides, consistent with metal-organic precursors. The rate of oxide deposition appeared to be higher than that which would occur through pure ALD mechanisms, suggesting some chemical vapor deposition (CVD) or decomposition of the gases being pumped.

FIGURE 3. Analysis of the deposition within a failed pump showing hafnium, oxygen, and carbon components.

FIGURE 3. Analysis of the deposition within a failed pump showing hafnium, oxygen, and carbon components.

A survey of literature [1], [2], [3], [4] revealed that the typical reactants used in high-k ALD can react at high pressure and at low temperature without the need for external energetic activation. This suggests that even if there were no CVD or decomposition of gases within the pump, ALD-like films can still be deposited on the internal surfaces of the pump.

A simulation of the vapor pressure of TEMAH (one of the precursors used) within the pump was conducted, assuming a mass flow rate of 0.2 mg min−1 for TEMAH. The simulation results were compared to the measured vapor pressure of TEMAH to determine if there was any risk of TEMAH condensing within the vacuum pump. The results, shown in FIGURE 4, suggest that there are sufficient safety margins in the actual conditions. The TEMAH will stay in vapor form while it travels through the pump, even if the actual flow varied by an order of magnitude from that assumed. Moreover, the pump temperature could be reduced substantially without risk of condensing TEMAH within the pump.

FIGURE 4. Vapor pressure of TEMAH (0.2 mg/min with 14 slm of nitrogen) and simulated vapor pressure of TEMAH in the dry pump, inlet to outlet.

FIGURE 4. Vapor pressure of TEMAH (0.2 mg/min with 14 slm of nitrogen) and simulated vapor pressure of TEMAH in the dry pump, inlet to outlet.

A number of pumps were inspected, a large majority of which were pumps exchanged prior to seizure. Unfortunately, although powder was evident in the final stages of all pumps, not all pumps had powders of the same color. Moreover, as seen in the middle photograph of Fig. 2, some pumps and boosters were relatively clean exhibiting just a green sheen of deposition.

None of the observations, other than powder in the final stage of the dry pump, were consistently repeatable, suggesting that factors upstream of the pump were also contributing to short service intervals. Powder loading varied between pumps and within the pumps, although the heaviest deposition was always located in the final stages of the dry pump. It is normal for the most deposition to occur near the exhaust of the pump because of the generally increased temperature of the exhaust gas and the increase in vapor pressure of the materials being pumped.

A diagram of the dry pump stages from inlet to outlet is shown in FIGURE 5, where the sleeves are also shown. Consistently, the final stage shaft sleeve, which is located between the 4th and 5th stage of the pump, was the weakest link in the design. Deposition would collect on the sleeve’s surface. Resulting friction between the sleeve and the stator would cause the components to heat, expand, and finally seize the pump.

FIGURE 5. Schematic of the dry pump mechanism showing inlet (1st stage) to outlet (5th stage). Rotor sleeves are shown in green.

FIGURE 5. Schematic of the dry pump mechanism showing inlet (1st stage) to outlet (5th stage). Rotor sleeves are shown in green.

FIGURE 6 shows the sleeves from between three stages of a pump exchanged for service. Another example is shown in the right side picture of Fig. 1. The sleeves are steel with a PTFE coating, giving them a green color. Evidence of the deposition is clear in the shaft sleeves on the right side of the picture.

FIGURE 6. Picture of sleeves in an exchanged pump showing deposition on the outer surfaces.

FIGURE 6. Picture of sleeves in an exchanged pump showing deposition on the outer surfaces.

Extending pump service intervals

Inconsistencies in powder deposition that suggested variations in upstream conditions were ultimately traced to condensation in the gas lines to the process chamber. The amount of condensed liquid and the length of the flow step in the ALD cycle affected the amount of deposition. When the user took care to avoid condensation, a much more consistent pattern of deposition was observed within the pump.

For any particular dry pump, the two most convenient elements that can be adjusted are the nitrogen purge and the temperature of the pump. Adding purge, or changing the location of the purge, can affect the partial pressure of the gases being pumped. Purge can also affect the temperature of the gas being pumped. In this case the purge flow was already 76 slm and further increase could have affected the downstream gas abatement device.

Experiments to extend the MTBS focused on the pump running temperature. Temperature changes within the pump can dramatically affect the propensity of the pumped gases to condense on the internal surfaces of the pump as well as the rate of reactions of any gases being pumped. However, varying the pump temperature from 140°C to nearly 180°C made any appreciable change to the service interval.

Finally, two pumps with designs that differed significantly from the original pump were evaluated. Additionally, new pump A provided significantly greater capacity at higher inlet pressures than new pump B, at the expense of greater power consumption. The results are shown in TABLE 2.

Screen Shot 2015-02-10 at 5.32.47 PM

New Pump A was initially installed with a temperature set point of 130°C. It was removed after six months for inspection prior to failure. New Pump B was tested with a temperature set point of 110°C. It was removed after six months prior to failure. A comparison of the internal condition of the Original Pump and New Pump B is shown in FIGURE 7.

FIGURE 7. Pictures comparing the third stage of the original pump and New Pump B showing the different deposition patterns.

FIGURE 7. Pictures comparing the third stage of the original pump and New Pump B showing the different deposition patterns.

Four differences in the new pump design are believed to have contributed to improved reliability:

  • 180% increase in rotational speed (180%) resulting in less residence time of the pumped gases.
  • Reduced operating temperature. Although many semiconductor processes benefit from a hot pump, this ALD process does not.
  • No rotor sleeves. The rotor sleeve in the new pumps was integrated with the rotor element itself. This not only removed the necessity for a coating, but appeared to strengthen the mechanism.
  • Heated purge. The purge in the new pumps is warmed to within 95% of the stator temperature to prevent cooling effects and reduce the chance of spontaneous condensation of gases.

Subsequent experience with a large number of pumps and customers has confirmed the advantages provided by the new pump design. New pump B is the recommended pump for this application with fixed service intervals varying between 4 and 6 months depending on the specific characteristics of the process supported.

Conclusions

Deposition of high-k materials using ALD is a widely used technique for today’s transistor and memory structures. At early introduction of the process in high volume manufacturing, pump reliability became a key concern. Careful analysis and cooperation with customers resulted in extending the service interval of the pumps from one to up to six months, an achievement that significantly reduced operating expenses and production losses due to wafer contamination and equipment downtime caused by unexpected pump failures. Analysis of the pump condition and test results showed that, more than temperature or purge, a different pump design provided the greatest improvement in service intervals. Design features that contributed most to the improved performance include increased rotational speed, integrated rotor sleeves, and increased purge injection temperature.

References

1. J. M. et al., “Impact of Hf-precursor choice on scaling and performance of high-k gate dielectrics hf-based high-k materials,” ECSTrans., p. 59, 2007.
2. X. L. et al., “Ald of hafnium oxide thin films from tetrakis (ethylmethylamino) hafnium and ozone,” J. of ECS, vol. 152, 2005.
3. H. Furuya, “Formation of metal oxide film,” Sep 2008, patent application: US20080226820 A1.
4. Y. S. et al., “Atomic layer deposition of hafnium oxide and hafnium silicate thin films using liquid precursors and ozone,” J. Vac. Sci. Tech. A, vol. 22, 2004.