Category Archives: Uncategorized

3D-Micromac AG, a developer of laser micromachining and roll-to-roll laser systems for the photovoltaic, medical device and electronics markets, is presenting its highly productive microCELL systems for laser processing of crystalline solar cells at the SNEC 2017 International Photovoltaic Power Generation Conference & Exhibition, to be held April 17-21 in Shanghai, China.

Second-generation microCELL OTF laser system from 3D-Micromac for laser contact opening (LCO) of high-efficiency PERC solar cells.

Second-generation microCELL OTF laser system from 3D-Micromac for laser contact opening (LCO) of high-efficiency PERC solar cells.

In addition to showcasing the microCELL TLS, a production solution for half-cell cutting with Thermal Laser Separation (TLS), 3D-Micromac will introduce its second-generation microCELL OTF system for Laser Contact Opening (LCO) of high-efficiency Passivated Emitter Rear Contact (PERC) solar cells.

The industry-proven microCELL OTF systems produce a selective opening on backside-passivated multi- and monocrystalline solar cells to allow more light to be absorbed by the solar cell. The newly introduced second-generation system provides outstanding productivity with a throughput of more than 8,000 wafers per hour–double the throughput of the previous-generation microCELL OTF system and well above that of competing solutions. This is facilitated by dual-lane wafer handling and on-the-fly laser processing.

The new tool generation meets customers’ requirements for inline integration into two- or three-line metallization machinery since the throughput of the single laser process step now matches that of the other process steps in the production line–ensuring that the laser process is not the bottleneck in material flow.

Besides PERC, the tool can also be used for laser-doped selective emitter processes.

ni logo

PMS-webinar-logo

Date: Wednesday, April 26, 2017 at 2 p.m. ET

Free to attend

Length: Approximately one hour

NEW register_button

Continued scaling and more complex device structures, including FinFETs and 3D stacking, are creating new challenges in metrology and characterization. In this webcast, hear from leading metrology expert Alain Diebold, who will discuss new challenges and opportunities in the field of semiconductor metrology, focusing on new transistor structures, nanoscale films and structures and how to use plasmonics with scatterometry to increase sensitivity to metal linewidth.

Speaker: 

Alain Diebold, Interim Dean, College of Nanoscale Sciences

Alain Diebold is Interim Dean at the Colleges of Nanoscale Science and Engineering (CNSE) SUNY Polytechnic Institute. He is also the Empire Innovation Professor of Nanoscale Science; Executive Director, Center for Nanoscale Metrology; and Executive Director, NC3. He is a fellow of the American Vacuum Society and SPIE as well as a senior member of the IEEE. He is an associate editor for IEEE’s Transactions on Semiconductor Manufacturing. Before moving to Albany, Alain was a Senior Fellow at SEMATECH.  Prior to moving to Austin, He was a senior chemist at Allied Signal in Morristown, NJ. Alain received his PhD from Purdue University in 1979.

Sponsored by National Instruments and Particle Measuring Systems, Inc.

National Instruments provides powerful, flexible technology solutions that accelerate productivity and drive rapid innovation. From daily tasks to grand challenges, NI helps engineers and scientists overcome complexity to exceed even their own expectations. Customers in nearly every industry—from healthcare and automotive to consumer electronics and particle physics—use NI’s integrated hardware and software platform to improve our world. To learn more, click here.

Particle Measuring Systems Inc. (PMS), a subsidiary of Spectris plc, is a global technology leader in contamination monitoring, the inventor of laser particle counting, and now is the leading provider of solutions for monitoring and controlling many forms of contamination that impact companies that manufacture in ultra-clean environments. Learn more at pmeasuring.com.

 

Epicor-Logo-PREFERRED

Date: Friday, April 7, 2017 at 1pm ET

Free to attend

Length: Approximately one hour

NEW register_button

The landscape of microelectronics manufacturing is changing rapidly as the amount of data being generated in the factory grows exponentially along with the capabilities for managing and analyzing that data. Additionally the move to smart manufacturing is adding key capabilities such as connectivity up and down the supply chain and simulation mechanisms to support cyber-physical system concepts. In light of these capabilities we are seeing an explosion in opportunities in Advanced Process Control (APC) and its family of solutions; these solutions include model-based process control, Fault Detection and Classification (FDC), and predictive capabilities such as Predictive Maintenance (PdM), Virtual Metrology (VP), yield prediction, and big data and data analytics approaches. This opportunity explosion is associated with key technology trends such as more service-based cooperative solutions, and increased incorporation of processes and equipment knowledge in solution approaches (often termed Computational Process Control or CPC). The APC Conference is the key conference in the microelectronics industry for exploration of APC challenges and solutions (www.apcconference.com). The 29th Annual APC Conference will be held this October 9-12 in Austin Texas, and will provide insight into the aforementioned APC and related advancements, as well as the important role that APC will play in microelectronics smart manufacturing.

Speakers: 

headshot1Dr. James Moyne is a Consultant for Standards and Technology to the Applied Global Services group at Applied Materials. He received his Ph.D. degree from the University of Michigan, where he is currently an Associate Research Scientist in the Department of Mechanical Engineering. James has been involved in APC since the early 90’s starting with his founding of MiTeX Solutions, Inc. in 1995, which provided the first 3rd party advanced process control solutions for semiconductor manufacturing. Dr. Moyne has experience in advanced process control, prediction technology (predictive maintenance, virtual metrology, and yield prediction), and big data technology (focusing on analytics, network performance and data quality); he is the author of a number of refereed publications and patents in these areas. James is currently chair of the Factory Integration Technical Working Group of the International Roadmap for Devices and Systems, and is co-chair of this year’s APC Conference.

van eckDr. Bradley Van Eck is Vice President of the Integrated Measurement Association (IMA) and Co-Chair of the Advanced Process Control Conference. He received his Ph.D. degree from Michigan State University. Bradley has chaired or co-chaired the APC Conference since 1998. He was instrumental in establishing APC conferences in Europe and Asia (Taiwan, Japan). Dr. Van Eck was a founding member of the Integrated Measurement Association in 1999.   Bradley has worked in various capacities for SEMATECH and ISMI from 1990 – 2011. These include, AEC/APC Conference Chair, sensor integration for APC, SEMI Standards, e-Manufacturing, and contributions to the ITRS Factory Integration Technical Working Group.

Sponsored by Epicor

Epicor Software Corporation is a global leader delivering inspired business software solutions to the manufacturing, distribution, retail and services industries. With over 40 years of experience serving small, midmarket and larger enterprises, Epicor enterprise resource planning (ERP), production control software (MES), and supply chain management (SCM), enable companies to drive increased efficiency and improve profitability. With a history of innovation, industry expertise and passion for excellence, Epicor provides the single point of accountability that local, regional and global businesses demand. www.epicor.com/electronics

ULVAC, Inc. is pleased to announce the NA-1500 dry etching system for 600mm advanced packaging substrates, providing for uniform Descum and Ti etching processes.

Low_ulvac1

Higher data transfer speeds require higher-density packaging technologies, while advanced mobile and wireless devices require thinner and higher-pin-count IC packages. Fan-Out Wafer Level Packaging (FO-WLP) is widespread, while Panel Level Packaging increases substrate size from 300mm to 600mm.

While there are many 200mm/300mm wafer dry etching systems in the market today, there was no dry etching system for 600mm substrates, providing for a uniform Descum process and Ti etching process. ULVAC developed the new system to address this need, and support mass-produced packaging processes.

The new NA-1500 dry etching system is made possible by enhancing our proven plasma source. Our plasma source enables fast, low-temperature etching in the resin layer, which had been previously impossible with existing CCP methods.

Our plasma source is also applicable to fluorine gases, so seed layer Ti etching, which requires a wet process, can proceed without side etching. SiO2 and SiN etching is available on the NA-1500 as well.

The NA-1500 dry etching system provides stable transfer and processes without abnormal discharge, ensuring warpage from the enlarged substrate is never an issue.

 

Epicor-Logo-PREFERRED

Date: Tuesday, March 28, 2017 at 1pm ET

Free to attend

Length: Approximately one hour

NEW register_button

The rise of Internet of Things (IoT) and the cloud and their associated technologies and platforms are slowly but surely fueling the emergence of new market segments that are shaping and transforming our way of life. Learn how the IoT applications and use-cases that span clients/devices, networks, and data centers are driving new requirements for semiconductors including ultra-low power, ultra-low leakage, smaller and denser packaging, and cost effectiveness. And find out exactly how this IoT trend represents a growth opportunity for the semiconductor industry that has not been seen since the early days of the Internet.

Speaker:

Nitin KulkarniNitin Kulkarni, Principal Staff Advertising Marketing Manager, GLOBALFOUNDRIES

Nitin is responsible for product and technical marketing of GLOBALFOUNDRIES’ CMOS product portfolio, with a focus on IoT and Industry 4.0 market segments.  Prior to joining GLOBALFOUNDRIES, he was Divisional Marketing Manager at Cypress Semiconductor (formerly Spansion, Inc.) where he was instrumental in launching and leading marketing activities for the company’s Serial Flash (SPI) product line.

Nitin has over 20 years’ experience in engineering, product management and marketing of semiconductor products including x86 microprocessors, communications/networking and flash memory. He holds a Master of Science degree in Electrical Engineering (MSEE) from the University of North Carolina, Charlotte, and a Bachelor of Engineering (BE) in Electrical Engineering from the College of Engineering, University of Pune, India.

Head shot for SSTAshvini Patil, Product Management of Embedded Memory at GLOBALFOUNDRIES

Ashvini is responsible for product management and marketing of GLOBALFOUNDRIES’ embedded memory product offerings, across all market segments.  Prior to joining GLOBALFOUNDRIES, she worked as a Product Marketing Engineer at Cypress Semiconductor (formerly Spansion, Inc.) where she was managing and marketing various NOR flash memory products.

She holds a Master of Science degree in Electrical Engineering (MSEE) from the Northwestern Polytechnic University, CA, and a Bachelor of Engineering (BE) in Electrical Engineering from North Maharashtra University, India.

Sponsored by Epicor

Epicor Software Corporation is a global leader delivering inspired business software solutions to the manufacturing, distribution, retail and services industries. With over 40 years of experience serving small, midmarket and larger enterprises, Epicor enterprise resource planning (ERP), production control software (MES), and supply chain management (SCM), enable companies to drive increased efficiency and improve profitability. With a history of innovation, industry expertise and passion for excellence, Epicor provides the single point of accountability that local, regional and global businesses demand. www.epicor.com/electronics

This article originally appeared on SemiMD.com and was featured in the Jan/Feb 2017 issue of Solid State Technology. 

By Ed Korczynski, Sr. Technical Editor

The client:server computing paradigm colloquially referred to as the “Cloud” results in a need for extremely efficient Cloud server hardware, and from first principles the world can save a lot of energy resources if servers run on photonics instead of electronics. Though the potential for cost-savings is well known, the challenge of developing cost-effective integrated photonics solutions remains. Today, discrete compound-semiconductor chips function as transmitters, multiplexers (MUX), and receivers of photons, while many global organizations pursue the vision of lower-cost integrated silicon (Si) photonics circuits.

Work on photonics chips—using light as logic elements in an integrated circuit—built in silicon (Si) has accelerated recently with announcements of new collaborative research and development (R&D) projects. Leti, an institute of CEA Tech, announced the launch of a European Commission Horizon 2020 “COSMICC” project to enable mass commercialization of Si-photonics-based transceivers to meet future data-transmission requirements in data centers and super computing systems.

The Leti-coordinated COSMICC project will combine CMOS electronics and Si-photonics with innovative fiber-attachment techniques to achieve 1 Tb/s data rates. These scalable solutions will provide performance improvement an order of magnitude better than current VCSELs transceivers, and the COSMICC-developed technology will address future data-transmission needs with a target cost per bit that traditional wavelength-division multiplexing (WDM) transceivers cannot meet. The project’s 11 partners from five countries are focusing on developing mid-board optical transceivers with data rates up to 2.4 Tb/s with 200 Gb/s per fiber using 12 fibers. The devices will consume less than 2 pJ/bit. and cost approximately 0.2 Euros/Gb/s.

A first improvement will be the introduction of a silicon-nitride (SiN) layer that will allow development of temperature-insensitive MUX/DEMUX devices for coarse WDM operation, and will serve as an intermediate wave-guiding layer for optical input/output. The partners will also evaluate capacitive modulators, slow-wave depletion modulators with 1D periodicity, and more advanced approaches. These include GeSi electro-absorption modulators with tunable Si composition and photonic crystal electro-refraction modulators to make micrometer-scale devices. In addition, a hybrid III-V on Si laser will be integrated in the SOI/SiN platform in the more advanced transmitter circuits.

Meanwhile in the United States, Coventor, Inc. is collaborating with the Massachusetts Institute of Technology (MIT) on photonics modeling. MIT is a key player in the AIM Photonics program, a federally funded, public-private partnership established to advance domestic capabilities in integrated photonic technology and strengthen high-tech U.S.-based manufacturing. Coventor will provide its SEMulator3D process modeling platform to model the effect of process variation in the development of photonic integrated components.

“Coventor’s technical expertise in predicting the manufacturability of advanced technologies is outstanding. Our joint collaboration with Coventor will help us develop new design methods for achieving high yield and high performance in integrated photonic applications,” said Professor Duane Boning of MIT. Boning is an expert at modeling non-linear effects in processing, many years after working on the semiconductor industry’s reference model for the control of chemical-mechanical planarization (CMP) processing.

—E.K.

Astronics Corporation (NASDAQ:ATRO), through its wholly-owned subsidiary Astronics Test Systems, introduced two new test instruments today. The new PXIe-1802 Arbitrary Waveform Generator and the new PXIe-1803 Digitizer deliver unprecedented test capabilities and measurement accuracy in a compact, robust PXI form factor for aerospace, defense, communications, and other high-reliability applications.

“The demand for high performance PXI test instruments continues to rise, both as legacy test systems are upgraded with additional functionality and as new systems are introduced,” explained Steve Fairbanks, Senior Director of Product Marketing for Astronics Test Systems. “Our latest additions to our product portfolio enhance our ability to provide a breadth of test functionality for next generation test initiatives.”

Top Flight Performance in Waveform Generation and Digitizer Functions

The PXIe-1802 Arbitrary Waveform Generator (AWG) offers both speed and performance for output frequencies of up to 125 MHz. With built-in waveforms, high signal quality, high density and modularity, and a host of other convenient features, this AWG delivers dual 14/16-bit waveform generator channels, bandwidths of 90-140 MHz, synchronization, and 250 μV measurement accuracy.

The PXIe-1803 is a 130/180 MS/s dual-channel digitizer providing industry-leading speed and performance for input frequencies up to 175 MHz. With exceptional signal integrity, high density, and modularity, this new digitizer provides a dual-channel 14/16-bit digitizer configurable as separate or fully synchronized channels. Other features include waveform bandwidths of 65-175 MHz (typical), 64M of waveform memory per channel, and relative accuracy of up to 0.006%.

Astronics.png

This article originally appeared on SemiMD.com and was featured in the December 2016 issue of Solid State Technology. 

By Dave Lammers, Contributing Editor

The IEDM 2016 conference, held in early December in San Francisco, was somewhat of a coming-out party for magneto-resistive memory (MRAM). The MRAM presentations at IEDM were complemented by a special MRAM-focused poster session – organized by the IEEE Magnetics Society in cooperation with the IEEE Electron Devices Society (EDS) – with 33 posters and a lively crowd.

And in the opening keynote speech of the 62nd International Electron Devices Meeting, Seok-hee Lee, executive vice president at SK Hynix (Seoul), set the stage by saying that the race is on between DRAM and emerging memories such as MRAM. “Originally, people thought that DRAM scaling would stop. Then engineers in the DRAM and NAND worlds worked hard and pushed out the end further in the future,” he said.

While cautioning that MRAM bit cells are larger than in DRAM and thus more more costly, Lee said MRAM has “very strong potential in embedded memory.”

SK Hynix is not the only company with a full-blown MRAM development effort underway. Samsung, which earlier bought MRAM startup Grandis and which has a materials-related research relationship with IBM, attracted a standing-room-only crowd to its MRAM paper at IEDM. TSMC is working with TDK on its program, and Sony is using 300mm wafers to build high-performance MRAMs for startup Avalanche Technology.

And one knowledgeable source said “the biggest processor company also has purchased a lot of equipment” for its MRAM development effort.

Dave Eggleston, vice president of emerging memory at GlobalFoundries, said he believes GlobalFoundries is the furthest along on the MRAM optimization curve, partly due to its technology and manufacturing partnership with Everspin Technologies (Chandler, Ariz.). Everspin has been working on MRAM for more than 20 years, and has shipped nearly 60 million discrete MRAMs, largely to the cache buffering and industrial markets.

GlobalFoundries has announced plans to use embedded STT-MRAM in its 22FDX platform, which uses fully-depleted SOI technology, as early as 2018.

Future versions of MRAM– such as spin orbit torque (SOT) MRAM and Voltage Controlled MRAM — could compete with SRAM and DRAM. Analysts said today’s spin-transfer torque STT-MRAM – referring to the torque that arises from the transfer of electron spins to the free magnetic layer — is vying for commercial adoption as ever-faster processors need higher performance memory subsystems.

STT-MRAM is fast enough to fit in as a new memory layer below the processor and the SRAM-based L1/L2 cache layers, and above DRAM and storage-level NAND flash layers, said Gary Bronner, vice president of research at Rambus Inc.

With good data retention and speed, and medium density, MRAM “may have advantages in the lower-level caches” of systems which have large amounts of on-chip SRAM, Bronner said, due in part to MRAM’s smaller cell size than six-transistor SRAM. While DRAM in the sub-20nm nodes faces cost issues as its moves to more complex capacitor structures, Bronner said that “thus far STT-MRAM) is not cheaper than DRAM.”

IBM researchers, which pioneered the spin-transfer torque approach to MRAM, are working on a high-performance MRAM technology which could be used in servers.

As of now, MRAM density is limited largely by the size of the transistors required to drive sufficient current to the magnetic tunnel junction (MTJ) to flip its magnetic orientation. Dan Edelstein, an IBM fellow working on MRAM development at IBM Research, said “it is a tall order for MRAM to replace DRAM. But MRAM could be used in system-level memory architectures and as an embedded memory technology.”

PVD and etch challenges

Edelstein, who was a key figure in developing copper interconnects at IBM some twenty years ago, said MRAM only requires a few extra mask layers to be integrated into the BEOL in logic. But there remain major challenges in improving the throughput of the PVD deposition steps required to deposit the complex material stack and to control the interfacial layers.

The PVD steps must deposit approximately 30 layers and control them to Angstrom-level precision. Deposition must occur under very low base pressure, and in oxygen- and water-vapor free environments. While tool vendors are working on productization of 300mm MRAM deposition tools, Edelstein said keeping particles under control and minimizing the maintenance and chamber cleaning are all challenging.

Etching the complex materials stack is even harder. Chemical RIE is not practical for MRAMs at this point, and using ion beam etching (IBE) presents challenges in terms of avoiding re-deposition of material sputtered off during the IBE etch steps for the high-aspect-ratio MTJs.

For the tool vendors, MRAMs present challenges as companies go from R&D to high-volume manufacturing, Edelstein said.

A Samsung MRAM researcher, Y.J. Song, briefly described IBE challenges during an IEDM presentation describing an embedded STT-MRAM with a respectable 8-Mbit density and a cell size of .0364 sq. micron. “We worked to optimize the contact etching,” using IBE etch during the patterning steps, he said. The short fail rate was reduced, while keeping the processing temperature at less than 350°C, Song said.

Many of the presentations at IEDM described improvements in key parameters, such as the tunnel magnetic resistance (TMR), cell size, data retention, and read error rates at high temperatures or low operating voltages.

An SK Hynix presentation described a 4-Gbit STT-MRAM optimized as a stand-alone, high-density memory. “There still are reliability issues for high-density MRAM memory,” said SK Hynix’s S.-W. Chung. The industry needs to boost the TMR “as high as possible” and work on improving the “not sufficiently long” retention times.

At high temperatures, error rates tend to rise, a concern in certain applications. And since devices are subjected to brief periods of high temperatures during reflow soldering, that issue must be dealt with as well, detailed by a Bosch presentation at IEDM.

Cleans and encapsulation important

Gouri Sankar Kar, who is coordinating the MRAM research program at the Imec consortium (Leuven, Belgium), said one challenge is to reduce the cell size and pitch without damaging the magnetic properties of the magnetic tunnel junction. For the 28nm logic node, embedded MRAM would be in the range of a 200nm pitch and 45nm critical dimensions (CDs). At the IEDM poster session, Imec presented an 8nm cell size STT-MRAM that could intersect the 10nm logic node, with the MRAM pitch in the 100nm range. GlobalFoundries, Micron, Qualcomm, Sony and TSMC are among the participants in the Imec MRAM effort.

Kar said in addition to the etch challenges, post-patterning treatment and the encapsulation liner can have a major impact on MTJ materials selection. “Some metals can be cleaned immediately, and some not. For the materials stack, patterning (litho and etch) and clean optimization are crucial.”

“Chemical etch (RIE) is not really possible at this stage. All the tool vendors are working on physical sputter etch (IBE) where they can limit damage. But I would say all the major tool vendors at this point have good tools,” Kar said.

To reach volume manufacturing, tool vendors need to improve the tool up-time and reduce the maintenance cycles. There is a “tail bits” relationship between the rate of bit failures and the health of the chambers that still needs improvement. “The cleanup steps after etching are very, very critical” to the overall effort to improving the cost effectiveness of MRAM, Kar said, adding that he is “very positive” about the future of MRAM technology.

A complete flow at AMAT

Applied Materials is among the equipment companies participating in the Imec program, with TEL and Canon-Anelva also heavily involved. Beyond that, Applied has developed a complete MRAM manufacturing flow at the company’s Dan Maydan Center in Santa Clara, and presented its cooperative work with Qualcomm on MRAM development at IEDM.

In an interview, Er-Xuan Ping, the Applied Materials managing director in charge of memory and materials technologies, said about 20 different layers, including about ten different materials, must be deposited to create the magnetic tunnel junctions. As recently as a few years ago, throughput of this materials stack was “extremely slow,” he said. But now Applied’s multi-cathode PVD tool, specially developed for MRAM deposition, can deposit 5 Angstrom films in just a few seconds. Throughput is approaching 20 wafers per hour.

Applied Materials “basically created a brand-new PVD chamber” for STT-MRAM, and he said the tool has a new e-chuck, optimized chamber walls and a multi-cathode design.

The MRAM-optimized PVD tool does not have an official name yet, and Ping said he refers to it as multi-cathode PVD. With MRAM requiring deposition of so many different metals and other materials, the Applied tool does not require the wafer to be moved in and out, increasing efficiency. The shape and structure of the chamber wall, Ping said, allow absorption of downstream plasma material so that it doesn’t come back as particles.

For etch, Applied has worked to create etching processes that result in very low bit failure rates, but at relatively relaxed pitches in the 130-200nm range. “We have developed new etch technologies so we don’t think etch will be a limiting factor. But etch is still challenging, especially for cells with 50nm and smaller cell sizes. We are still in unknown territory there,” said Ping.

Jürgen Langer, R&D manager at Singulus Technology (Frankfurt, Germany), said Singulus has developed a production-optimized PVD tool which can deposit “30 material layers in the Angstrom range. We can get 20 wafers per hour throughputs, so I would say this is not a beta tool, it is for production.”

Where does it fit?

Once the production challenges of making MRAM are ironed out, the question remains: Where will MRAM fit in the systems of tomorrow?

Tom Coughlin, a data storage consultant based in Atascadero, Calif., said embedded MRAM “could have a very important effect for industrial and consumer devices. MRAM could be part of the memory cache layers, providing power advantages over other non-volatile devices.” And with its ability to power on and power off without expending energy, MRAM could reduce overall power consumption in smart phones, cutting in to the SRAM and NOR sectors.

“MRAM definitely has a niche, replacing some DRAM and SRAM. It may replace NOR. Flash will continue for mass storage, and then there is the 3D Crosspoint from Intel. I do believe MRAM has a solid basis for being part of that menagerie. We are almost in a Cambrian explosion in memory these days,” Coughlin said.

 

Versum Logo-Final_CMYK-NoGäó

Date: December 15, 2016 at 1:00 p.m. ET

Free to attend

Length: Approximately one hour

NEW register_button

The smartphone market is expected to reach 1.5B units in 2016 consuming nearly 1/3 of the IC market as smartphones become the mini mobile computers in capabilities and the central hub/gateway for the Internet of Things (IoT) devices including wearables and home monitoring devices. Since 2011 the high end smartphone application processor (AP) has advanced a logic technology node every year using 45nm technology in 2011 for the Apple A5 AP in the iPhone 4s to the introduction of 14/16nm FinFET technology in 2015 for the iPhone 6s/6s+ A9 and also the Samsung Galaxy S6. This year (2016) the Galaxy S7 still uses 14nm FinFET and the iPhone 7/7+ A10 uses 16nm FinFET, but with the announcements from both Samsung and TSMC in Oct 2016 of their production start of 10nm FinFETs, smartphone APs using 10nm FinFET technology will appear in 2017 starting with the Samsung Galaxy S8 in March and then iPhone 7s in September.  At the 2016 VLSI Symposium in June both Samsung and TSMC papers mentioned their key improvements in 10nm technology over 14/16nm technology were in channel mobility enhancement, S/D-epi doping and contact resistance (Rc) reduction with a complete session #7 dedicated to papers on Rc. In 2018/19 smartphone AP using 7nm FinFET with single or dual high mobility strained-channel material replacing raised S/D-epi stressors to further boost device performance and improved Rc will appear. At the Oct 2016 ECS PRiME conference there were several papers describing options and issues for relaxed and strained high mobility SiGe and Ge channel materials reported in the “Symposium on High Purity and High Mobility Semiconductor 14” and “Symposium on SiGe, Ge and Related Materials”.  Then by 2020/21 5nm FinFET, lateral-GAA (gate-all-around) Si or Ge nanowire or Monolithic 3-D vertical stacked transistors using thin wafer bonding like LETI’s CoolCube are options for More Moore scaling.  More than Moore 3-D stacked devices are also being driven by the smartphone market to achieve small compact packaging.  Sony introduced the first stacked backside CMOS image sensor with the pixel array on top of the logic circuit using TSV (through silicon via) and this was incorporated into the Apple iPhone 5 in 2012 as an 8Mpixel rear facing camera. This year Sony’s 3rd generation stacked 3-D 12Mpixel rear camera that uses wafer to wafer bonding called Hybrid DBI (direct bond interconnect) was introduced into the Samsung Galaxy S7 smartphone. The first 3-D NAND Flash memory was introduced in the Apple iPhone7/7+ by Toshiba as a 256Gb 48-layer 3-D NAND while the optional 128Gb Flash is a 2-D NAND using 15nm technology from Toshiba or SK Hynix. Therefore, this will give an update to the previous April 30, 2015 Webinar on Smartphone as the technology driver.

John BorlandSpeaker: John Borland, J.O.B. Technologies

John Ogawa Borland received his B.S. and M.S. degrees for MIT. He completed his BS thesis on InP liquid phase epitaxial growth at Hughes Malibu Research Labs in 1980 and his MS thesis on InP molecular beam epitaxial growth at Nippon Telephone and Telegraph (NTT) Labs in Musashino, Tokyo, Japan in 1981. He is a senior member of IEEE, the IEEE Hawaii section chair (2014-present), the chair for the Hawaii joint EDS/SSCS chapter and on the advisory committee for the IEEE International Workshop on Junction Technology (2008-2016). He is also a member of the Electrochemical Society (ECS) and was co-organizer for various ECS technical conferences/symposium including the “Symposium on ULSI Process Integration” (2001, 2003 & 2005), “Semiconductor Silicon” (1994 & 1998) and “Chemical Vapor Deposition” (1987, 1989, & 1991). He has published over 140 technical and invited papers around the world in the areas of advanced semiconductor device manufacturing techniques and high efficiency c-Si solar cells and has been awarded 6 patents. Currently he is President of J.O.B. Technologies a strategic technical marketing consulting company he founded in June 2003 providing service to the semiconductor device manufacturing, equipment and metrology companies in the area of advanced front end of line process technology with the current focus on 7nm and 5nm technology. This includes localized high mobility compressive and tensile strain channel material formation (SiGe, SiC, SiGeSn, Ge, GeSn, GeSi and GeC) and high dopant activation using advanced annealing and metrology techniques. He was Director of Operations of APIC’s subsidiary Advanced Integrated Photonics a silicon photonics development Fab in Honolulu, Hawaii from April 2013 to its shutdown in August 2014 with focus on Ge technology for Ge photo detector dark current leakage reduction by 300x and Si-waveguide performance improvements. From July 1998 to May 2003 he was Director of Advanced Business Development at Varian Semiconductor Equipment Associates pioneering Ultra Shallow Junction by beam-line and plasma implantation with high dopant activation and low junction leakage. From Nov. 1992 to July 1998 he was Vice President of Strategic Technology at Genus pioneering high energy ion implantation for CMOS twin-well, triple-well and epi replacement on the implant side and on the CVD side integrated CVD polycide and selective HF vapor cleaning. From Sept. 1983 to Nov. 1992 he was at Applied Materials pioneering CMOS-epi latch-up immunity, epi-gettering, selective epi growth (SEG/ELO) for device isolation and low temperature low pressure single wafer epi and poly system development (Centura-epi and Centura-poly). From Aug. 1981 to Sept. 1983 he was at National Semiconductor Corp. developing the 1.25um VHSIC-CMOS front end processing including intrinsic gettering to improve gate oxide integrity and improved CMOS latch-up immunity through retrograde well, buried layer and epilayer engineering.

Sponsored by Versum Materials 

Versum Materials has been a leading global supplier of high-purity gases, chemicals, and delivery systems to the electronics industry for over 40 years. We serve all major segments of the industry with a unique combination of offerings, experience, and commitment.  We’re advancing materials science. We’re advancing semiconductors. We’re advancing mobility. What can we help you advance?  https://www.versummaterials.com

 

astronicslogo

November 17, 2016 at 1:00 pm ET

Free to attend

Length: Approximately one hour

NEW register_button

Moore’s Law scaling can no longer maintain the pace of progress just when we need it most. Data, logic and applications are migrating to the cloud, consumerization of data and the rise of the Internet of Things are placing new demands and they are all occurring at the same time. Difficult challenges in power, performance, latency, bandwidth density, security and cost threaten our ability to maintain the progress that has enabled the growth of information technology. Meeting these challenges will require reduction in power and cost per function by a factor of 104 over the next 15 years while improving performance and decreasing latency. Only a revolution in packaging through Complex 3D-SiP can provide a solution. This will require new tools for design and simulation, test, new packaging architectures, production processes, materials, and equipment. The difficult challenges and potential solutions will be discussed, including critical test issues.

Speaker: 

Bill BottomsBill Bottoms, Chairman & CEO, 3MT Solutions

Dr. W. R. “Bill” Bottoms, the holder of a Ph.D. from Tulane University, has an extensive background in academia, venture funding, and in the commercial semiconductor equipment sector. Since founding 3MTS in 1999, Bill Bottoms has provided strategic leadership and vision in keeping with the promise of the 3MTS business model. Dr. Bottom has also served on a number of important government and industry committees and advisory positions. Key posts include chairmanship of the subcommittee of the Technical Advisory Committee of the United States Commerce Department’s Export Control Commission for Semiconductor Equipment and Materials.

Sponsored by Astronics