Category Archives: Uncategorized

BrewerScienceBLUE

 

November 15, 2016 at 1:00 p.m. ET

Free to attend

Length: Approximately one hour

NEW register_button

Directed self-assembly (DSA) patterning is one of the primary methods being pursued for future advanced patterning nodes. Since DSA’s initial introduction in the early 2000s, great progress has been made. However, many challenges remain for achieving manufacturing readiness. Key improvements in the areas of materials, defectivity, and process integration are still needed. This webcast will cover published progress to date, review key improvements needed, and discuss new advances in DSA technology. Results from CEA-LETI’s 300-mm lab-to-fab for validation of DSA’s manufacturing readiness will be discussed.

Speakers: 

James LambJames Lamb is a 33-year veteran of the semiconductor and electronics industry in both technology development and commercialization. He currently serves as Corporate Technical Fellow at Brewer Science. Previously, he served as Director of the Carbon Electronics Center and Printed Electronics Center from 2010-2014. From 2008-2010, Jim served as Managing Director of Product Management, and from 2001 through 2008, he served as Director of Corporate Business Development and the New Business Group. Earlier responsibilities at Brewer Science include Product Reliability Manager, Customer Technical Service Manager, and ARC® materials Quality Control Manager. Before joining Brewer Science in 1984, he worked as a Process Engineer at IBM East Fishkill. He graduated from the University of Missouri-Rolla in 1982 with a B.S. in Chemical Engineering. During his career, he has contributed as an inventor on 19 patents and as a coauthor of 28 technical publications. 

Raluca_Tiron_LetiRaluca Tiron joined Leti’s lithography group in 2004, working on e-beam lithography. In 2005, she integrates Leti’s Resist Expertise Center, where she worked on advanced lithography process development, resist characterization and mechanisms comprehension in 193 nm lithography. Starting in 2008, her research interest focused on directed self-assembly (DSA) of block copolymers, and she currently leads this activity at Leti. Dr. Tiron has been Leti project leader in several projects, including the national project MAGNIPHICO and the European project PLACYD. She is currently also in charge of an industrial collaboration around the DSA. She has authored and coauthored more than 60 papers in international reviews and holds more than 15 patents. Dr. Tiron received her PhD degree in molecular magnetism from Joseph Fourier University France in 2004.

Edwards, one of the world’s largest manufacturers of integrated vacuum and abatement solutions, launched a new Thermal Management System (TMS) at SEMICON Europa today. The new Smart TMS adds feedback control to accurately maintain gas temperature in vacuum pump fore lines and exhaust lines. Unheated lines can be clogged by condensed process materials and by-products. The Smart TMS reduces downtime and risks to service personnel tasked with cleaning out these often hazardous materials. The Smart TMS also improves energy efficiency and functionality with programmable remote controllers that interface readily to fab control software.

“We have worked extensively with our customers to improve their process productivity, as well as their fab safety,” states Ralph Loske, Business Line Manager, Semi & DSL for Edwards. “One of the major perceived risks associated with condensation is blockage of the exhaust pipe and a consequent process interruption. However, there are also other serious hazards that may result from condensed materials in exhaust pipes. For example, an exhaust fire can occur when partly reacted silicon compounds condense in exhaust pipes during a deposition process, and are subsequently exposed to fluorine during a chamber-cleaning process.”

To counter the condensation threat and improve system safety and productivity, the Smart TMS system controls gas temperatures between the pump exhaust port and the abatement inlet. It includes temperature monitoring within the heating elements, enabling feedback control to accurately maintain exhaust temperature at a specified set-point.

Ralph adds, “Our global applications group is able to holistically look at each customer’s system to customize the right solution for their specific application requirements. The Smart TMS solution is also valuable to manufacturers of flat panel displays (FPD) and solar cells, who use similar processes, including: chemical vapor deposition (CVD), epitaxy, oxide etch and poly etch, which have the potential for condensation and deposition in the exhaust lines.”

tms

 

Tektronix, Inc., a worldwide provider of measurement solutions, today introduced the Keithley S540 Power Semiconductor Test System, a fully-automated, 48 pin parametric test system for wafer-level testing of power semiconductor devices and structures up to 3kV. Optimized for use with the latest compound power semiconductor materials including silicon carbide (SiC) and gallium nitride (GaN), the fully integrated S540 can perform all high voltage, low voltage, and capacitance tests in a single probe touch-down.

Keithley S540_Power Semiconductor Test System

As demand for power semiconductor devices continues to increase and as SiC and GaN are becoming more commercialized, manufacturers are adopting wafer-level testing in their production processes to optimize yields and improve profitability. For these applications, the S540 lowers cost of ownership by minimizing test time, test set-up time, and floor space while achieving lab-grade high voltage measurement performance.

“Many fabs are using custom-built, hybrid test systems for power semiconductor testing that require manually changing test setups when moving from low voltage to high voltage tests. As you might expect, this adds process steps and slows production,” said Mike Flaherty, general manager, Keithley product line at Tektronix. “In contrast, the S540 is a complete, fully integrated solution well suited for production environments where numerous devices must be tested quickly.”

To deliver production-level performance, the S540 can perform parametric measurements on up to 48 pins without changing cables or probe card infrastructure. It can also perform transistor capacitance measurements such as Ciss, Coss, and Crss up to 3kV, again without manual reconfiguration of test pins. Further boosting test output, the S540 offers sub-pA measurement performance and can perform fully automated, high voltage leakage current tests in <1 sec.

As a standard commercial product, the S540 offers fully traceable system specifications, safety compliance, diagnostics, and worldwide service and support, features that are often missing in home-built or custom systems. The S540 draws on Keithley’s 30+ years of semiconductor parametric testing expertise and safely and seamlessly integrates industry-leading semiconductor test instrumentation with both low- and high-voltage switching matrices

AirProducts_logo_pms347

October 26, 2016 at 1 p.m. ET

Free to attend

Length: Approximately one hour

NEW register_button

With the change in the traditional IC scaling cadence, the expansive growth of “Big data,” and the pervasive nature of computing, rises a paradigm shift in integrated circuit scaling and microelectronic devices. The pervasive nature of computing drives a need for connecting billions of people and tens of billions of devices/things via cloud computing. Such connectivity effect will generate tremendous amount of data and would require a revolutionary change in the technology infrastructures being used to transmit, store and analyze data. The exponential impact of connectivity represents a data-centric future and drive an accelerated transformation throughout the network fabrics. Additionally, the users’ expectations and demand of emerging applications, such as autonomous driving, would not tolerate today’s level of latency and computing capacity. All aspects of the computing continuum – silicon, software and computing capacity that are staggered at different levels in the network- would need to be transformed and advanced performance would need to be pushed to lower levels of the network delivering an increased speed, capacity and an immediate access. This fundamental shift manifests itself through different device (s) requirements and is driving a third wave of technologies with a larger semiconductor footprint. With that comes an increased reliance on microelectronics packaging to deliver far more integrated, complex and advanced solutions at different levels of the network- from handheld and client interface devices to the data center, the cloud and devices at the edge. With accelerated and extended product life-cycle expectations, wearable electronics, and a large assortment of IoT devices, next-generation electronics will require several new packaging solutions.  Smaller form factors, lower power consumption, flexible designs, increased memory performance, and-more than ever­­­-a closely managed silicon package, co-optimization and architectural innovations.  Heterogeneous integration through package with technologies such as system in package (SIP), on package integration (OPI) and fan-out (WLFO and PLFO) are poised to change the packaging industry and play a disruptive role in enabling next generation devices.

The above mentioned move to cloud computing, the transformation of the network and the growth of data analysis are the fundamental growth drivers for the integrated circuit (IC) scaling moving forward. In turn, they represent a tremendous opportunity for microelectronics packaging to deliver, grow and transform into an increasingly influential and enabling role.

Speaker: 

Dr. Islam Salama, Intel Corporation

Dr. Islam Salama is with Intel Corporation responsible for packaging substrate Pathfinding of the high density interconnect across all Intel products. In this capacity, Islam manages a global team of technologists and manufacturing team responsible for delivering next generation packaging technologies. His team focuses on packaging substrate architectures, process and materials technology building blocks, intellectual property management, and manufacturing ecosystem development.

Islam has a Ph.D. in laser materials processing from the College of Optics and Photonics (CREOL), UCF and has been with Intel since 2003. Islam authored over 35 technical papers, was awarded more than 50 international patents in the fields of HDI substrate technology, laser technology, materials processing and semiconductor fabrication. Islam is an elected member of the board of directors for the Laser Institute of America (LIA), a member of the steering committee with the international technology manufacturing initiative (iNEMI), and a board member of Applicote Associates LLC-a photonics and manufacturing technology start-up.

Sponsored by Air Products 

Air Products has been a leading global supplier of high-purity gases, chemicals, and delivery systems to the electronics industry for over 40 years. We serve all major segments of the industry with a unique combination of offerings, experience, and commitment.  We’re advancing materials science. We’re advancing semiconductors. We’re advancing mobility. What can we help you advance?  www.airproducts.com/advancing

Today during the NXP FTF China Tech Forum keynote, NXP Semiconductors (NASDAQ:NXPI) kicked off its 10th year anniversary celebration since its founding as a standalone semiconductor company, with NXP Chief Executive Officer, Rick Clemmer, highlighting the key trends that are driving the company’s growth – ADAS, Internet of Things (IoT), security, automotive and payments.

“As NXP celebrates a decade, we’re reminded of how important innovations in security and connectivity, key partnerships and ecosystem convergence has been in both the evolution of a smarter world and our company growth,” said Clemmer. “We are celebrating today with customers, employees and partners, but more importantly we are looking towards the future – a future of more secure connections for a smarter world. Here at NXP FTF China, we are demonstrating our vitality notably in automotive, IoT, payment and transit technologies.”

NXP FTF China is taking place at the Shenzhen Intercontinental Hotel, September 28-29. At the event, the company announced key collaborations and unveiled new solutions for IoT, automotive and smart kitchens. Announcements include:

  • Establishing Security Standards for Smart Cars in China with Cross-sector Collaborations

    NXP announced it is collaborating with Changan Automobile and Neusoft to establish the China Auto Security Common Interests Group (CIG). The group brings together a hardware-based industry cooperative organization for automotive security with local automotive and software partners in the global market. CIG will adopt “NXP 4 plus 1 security framework” – the highest level of car information security today. The CIG will work together to develop comprehensive industrial solutions and will collaborate to establish industry standards to contribute to the innovation of China’s automotive industry.

  • Driving Mobile Transit and Contactless Payment in China

    NXP and Xiaomi Inc. today announced they are successfully deploying best-in-class technologies to implement secure, convenient mobile transit experiences countrywide across China’s popular metro stations and public bus lines. Since initial launch of the mobile transit services in June, activation rates grew more than 15 percent in Shanghai and more than 20 percent in Shenzhen among commuters. And with Xiaomi’s recent launch of Mi Pay, featuring NXP’s embedded secure element (eSE) technology, consumers will benefit from the highest level of security for transactions from both bank and transportation accounts.
  • NXP and Midea Demonstrate New Smart Kitchen Appliances

    NXP is collaborating with Midea to achieve breakthrough R&D innovations in smart kitchen appliances. NXP has brought revolutionary changes to Midea products through technological innovations: the semiconductor-heating cube demonstrated at the Tech Forum is the first result of this cooperation. With a sleek appearance, it features the MHT1004N solution based on NXP’s RF technology and is small in volume, lightweight and easy to carry, offering users with a more convenient cooking experience. As a major milestone in NXP’s cooperation in R&D of smart kitchen solutions in China, the launch of the semiconductor-heating cube heralds broader cooperation between the two parties in the field of smart home appliances.
  • Integrated Innovation to Push New Solutions for Secure Connected cars

    NXP announced its expansion of the industry leading MagniV microcontrollers product line and introduced a broad portfolio of hardware, software and integrated motor control solutions designed to dramatically speed time to market.  The new integrated solution would radically simplify system development and shorten design cycles.

    In addition, NXP also extended its leadership in secure car access technology to car-side systems with the introduction of the NJJ29C0 Low Frequency transceiver. As a leader in advanced key fob designs, NXP now enables full system solutions that combine longer-range performance and enhanced convenience for end-users, together with reduced costs, faster time-to market and greater design freedom for OEMs and their tier one suppliers.

  • New Innovative Technologies Designed in China, Built in China, For China

    At NXP FTF China, NXP officially unveiled the i.MX 6ULL – the lowest power ARM® Cortex-A7-based applications processor in the market.  The i.MX6 ULL processor, designed for the growing IoT consumer and industrial, mass markets, delivers up to 30 percent more power efficiency than its nearest competitors and is available at breakthrough prices.

    NXP also announced it is expanding the Kinetis E Series with KE1xZ and KE1xF ARM® Cortex® -M based MCU families to bring higher performance with greater memory densities and robust IP integration to the series making them ideal for high-end home appliances, motor control and smart lighting applications.

    NXP today introduced the industry’s first automotive 15W wireless charging solution, qualified to meet stringent automotive and industrial grade requirements. Compatible with WPC Qi and PMA charging standards, this complete solution allows automakers to easily transition from 5W to 15W capabilities, giving drivers a faster in-vehicle charging experience for mobile phones, tablets and wearables.

    In addition, the company announced that Cannice Technology, an original design manufacturer based in China, has unveiled a production-ready true wireless earbuds design.

Epicor-logo-Business Inspired-2color-CMYK

September 27, 2016 at 1 p.m. ET

Free to attend

Length: Approximately one hour

NEW register_button

An industrial revolution is in the making, equivalent some say to the introduction of steam power at the tail end of the 18th century. Known as smart manufacturing, Industry 4.0 (after the German initiative Industrie 4.0), the industrial internet of things (IIoT), or simply the fourth industrial revolution, the movement will radically change how manufacturing is done. Greater connectivity and information sharing — enabled by new capabilities in data analytics, remote monitoring and mobility — will lead to increased efficiency and reduced costs. There will be a paradigm shift from “centralized” to “decentralized” production. Semiconductor manufacturing has long been thought of as the most advanced manufacturing process in the world, but it’s not clear if long-held beliefs about how proprietary data, such as process recipes, are managed. Industry experts will examine the potential for the semiconductor factory of the future, and discuss potential roadblocks.

Speaker:

thomas_sondermanTom Sonderman, Vice President and General Manager, Software Business Unit 

Thomas Sonderman is vice president and general manager of Rudolph’s Integrated Solutions Group. He previously served as vice president of manufacturing technology at GLOBALFOUNDRIES. Prior to GLOBALFOUNDRIES he spent more than 20 years with AMD, where he held numerous executive management and engineering positions. Sonderman is the author of over 45 patents and has published numerous articles in the area of manufacturing technology. He received a BS in Chemical Engineering from the Missouri University of Science and Technology and an MBA in electrical engineering from National Technological University.

Cimetrix-Alan_Weber_copyAlan Weber, Vice President, New Product Innovations, Cimetrix

Alan Weber is currently the Vice President, New Product Innovations for Cimetrix Incorporated. Previously he served on the Board of Directors for eight years before joining the company as a full-time employee in 2011. Alan has been a part of the semiconductor and manufacturing automation industries for over 40 years. He holds bachelor’s and master’s degrees in Electrical Engineering from Rice University.

Sponsored by Epicor

Epicor Software Corporation is a global leader delivering inspired business software solutions to the manufacturing, distribution, retail and services industries. With over 40 years of experience serving small, midmarket and larger enterprises, Epicor enterprise resource planning (ERP), production control software (MES), and supply chain management (SCM), enable companies to drive increased efficiency and improve profitability. With a history of innovation, industry expertise and passion for excellence, Epicor provides the single point of accountability that local, regional and global businesses demand. www.epicor.com/electronics

Edwards logo

September 22, 2016 at 1:00 p.m. ET

Free to attend

Length: Approximately one hour

NEW register_button

The semiconductor industry’s response to perfluorinated compounds PFCs started in 1994 when DuPont, the supplier of the primary gas used in CVD chamber cleans, C2F6, issued a sales policy restricting sales after 12/31/96 “…only to those applications that contain and either recover or destroy” C2F6 subsequent to use. The sales policy started an industry effort to understand potential impacts of all fluorinated greenhouse gases used in semiconductor manufacturing and to develop methods to estimate and reduce emissions. The industry has worked on a global basis via the World Semiconductor Council to develop common PFC metrics, measurement methodologies and approaches to reduce emissions. Preferring a pollution prevention approach, the industry and its suppliers have evaluated and implemented when feasible process optimization, gas substitution, capture/recycle and abatement. The WSC also set a goal to reduce absolute PFC emissions by 10% from baseline levels by 2010. The WSC exceeded the 2010 goal, achieving a 32% reduction, largely by replacing carbon based PFC chamber cleaning gases with NF3 in new process equipment, optimizing processes to reduce gas consumption, and using alternative chemistries and installing abatement where feasible. The new WSC2020 target calls for the implementation of best practices to further reduce normalized emissions in 2020 by 30% from the 2010 aggregated baseline. How do the semiconductor industry’s greenhouse gas emissions compare to other sectors, what data uncertainties exist, and what can be done to cost effectively achieve further emissions reductions?

Speakers: 

Debbie Ottinger, USEPA

Deborah Ottinger has worked on the U.S. Environmental Protection Agency’s (EPA’s) programs to protect climate and stratospheric ozone since 1991. She currently plays a key role in the implementation of EPA’s Greenhouse Gas Reporting Program (GHGRP), which requires large emitters and suppliers of greenhouse gases (GHGs) to monitor and report their emissions and supplies to EPA. She also manages the U.S. Emissions Inventory Program for fluorinated GHGs emitted from industrial processes.

Dr. Michael Czerniak, Environmental Solutions Business Development Manager, Edwards

Starting his professional career with Philips, initially in their UK R&D labs and subsequently in the fab in Nijmegen, Holland, Mike has worked in the semiconductor business since gaining his PhD in 1982. He had subsequent marketing roles at UK-based OEMs Cambridge Instruments, VSW and VG Semicon before joining Edwards 19 years ago. He has held various technical and marketing roles before starting his current role earlier this year.

David Speed, Distinguished Member of the Technical Staff, GLOBAL FOUNDRIES

David Speed is a Distinguished Member of the Technical Staff at GLOBALFOUNDRIES. He works in the corporate EHS group and represents GLOBALFOUNDRIES on a wide variety of environmental, health, and safety issues. He has a PhD in Environmental Engineering from UCONN, with BS and MS degrees from URI and RPI.

Sponsored by Edwards

Edwards is a leading developer and manufacturer of sophisticated vacuum system products, abatement solutions and related value-added services. Our products are integral to manufacturing processes for semiconductors, flat panel displays, LEDs and solar cells; are used within an increasingly diverse range of industrial processes including power, glass and other coating applications, steel and other metallurgy, pharmaceutical and chemical; and for both scientific instruments and a wide range of R&D applications.

AirProducts_logo_pms347

Date: September 14, 2016 at 1 p.m. ET

Free to attend

Length: Approximately one hour

NEW register_button

For a semiconductor technology node, the BEOL definition must support minimal parasitic impact to technology, sufficient reliability, required dimensional scaling from previous nodes for standard cell and custom logic requirements, and high yielding/low cost integration schemes. This webcast will discuss the key BEOL elements and innovations in these areas for the 7nm nodes and beyond. The individual elements are often in conflict with each other, but must be considered in unison to determine the overall best definition.

Speaker:

Larry ClevengerLarry Clevenger, Ph.D., Senior Technical Staff Member , 5nm, 7nm, 10nm and 14nm BEOL Architect, IBM Research

Dr. Larry Clevenger is an internationally recognized leader in semiconductor technology – taking new products from innovation to definition to early production. Since 2000 he has defined new semiconductor technologies for IBM as a chip hardware lead architect. His area of excellence is optimizing the on-chip interconnect from silicon devices to semiconductor packaging substrates for performance, yield, and cost. He is a member of the IBM Academy of Technology and he is a life time IBM Master Inventor, with over 230 issued patents. Dr. Clevenger received a B.S. in Material Engineering from UCLA and a Ph.D. in Electronic Materials from MIT.

Sponsored by Air Products

Air Products has been a leading global supplier of high-purity gases, chemicals, and delivery systems to the electronics industry for over 40 years. We serve all major segments of the industry with a unique combination of offerings, experience, and commitment.  We’re advancing materials science. We’re advancing semiconductors. We’re advancing mobility. What can we help you advance?  www.airproducts.com/advancing

Nanophoton introduces RAMANdrive – a new Wafer Analyzer – for a wide range of applications at semiconductor market at ICCGE-18 (the 18th International Conference on Crystal Growth and Epitaxy) in Nagoya, Japan, August 7th – 12th, 2016.

With sub-micron resolution, RAMANdrive provides stress-, polytype-, defect distribution etc. in 3 dimen- sions using the most powerful Raman Imaging Technology of Nanophoton. The dedicated 300 mm stage was developed for accurate and safe analysis of the whole wafer, while the Raman Imaging Sys- tem provides you with high performance data. Especially the unique Nanophoton Stage Navigation System features easy and fast operation by implementing your data from the regular inspection system and use it to move the wafer to all positions you are interested in for a detailed analysis.

Michael Verst – President/CEO of Nanophoton – commented: “Raman Imaging is one of the most exit- ing technologies for wafer analysis. It provides comprehensive data about stress, polytype, impurity or contamination non-destructively in all 3 dimensions. In combination with our dedicated 300 mm wafer stage, I strongly believe that our RAMANdrive will be a powerful tool especially for QA/QC as well as development work. It will substantially improve the yield ratio, but also accelerate the development of new materials etc. Nanophoton invested a substantial amount of efforts in the development and dur- ing all the time we worked closely with related experts to meet the requirements of our customers in the semiconductor industry.”

Screen Shot 2016-08-08 at 2.56.06 PM

tsu-jae

Dr. Tsu-Jae King Liu

Intel Corporation today announced that Dr. Tsu-Jae King Liu has been elected to serve on Intel’s board of directors.

“We are very pleased to have Dr. Liu join the Intel board and look forward to her contributions,” said Intel Chairman Andy Bryant. “She brings a wealth of expertise in silicon technology and innovation that will be valuable for Intel in many areas as we navigate a significant business transition while continuing to lead in advancing Moore’s Law and harnessing its economic value.”

Liu, 53, holds a distinguished professorship endowed by TSMC in the Department of Electrical Engineering and Computer Sciences (EECS), in the College of Engineering at the University of California, Berkeley where she also serves as associate dean for Academic Planning and Development. Liu’s previous administrative positions within the College of Engineering include associate dean for research and EECS department chair. She has also held research and engineering positions at the Xerox Palo Alto Research Center and Synopsys Inc.

Liu holds over 90 patents and has received numerous awards for her research, including the Intel Outstanding Researcher in Nanotechnology Award (2012) and the SIA University Researcher Award (2014). Currently, her research is focused on nanometer-scale logic and memory devices, and advanced materials, process technology and devices for energy-efficient electronics. She received B.S., M.S. and Ph.D. degrees in electrical engineering from Stanford University in 1984, 1986 and 1994, respectively.