Device Architecture

DEVICE ARCHITECTURE ARTICLES



Complementary-electron-beam-lithography-extends-optical-litho-life

03/09/2011 

At SPIE Advanced Lithography, David Lam, Multibeam chairman and former CEO of Lam Research, presented the complementary e-beam lithography (CEBL) concept. IC manufacturers will find CEBL beneficial as they search for ways to continue using their optical lithography equipment, says Lam.

Hynix Semiconductor joins SEMATECH 3D Interconnect Program at UAlbany NanoCollege

03/09/2011 

Hynix Semiconductor Inc., DRAM and flash memory supplier, joined SEMATECH's 3D Interconnect program at CNSE's Albany NanoTech Complex to address industry infrastructure and technology gaps in materials, equipment, integration and product-related issues for high-volume adoption of through silicon vias (TSV).

Optomec aerosol jet printing featured as wire bond, TSV alternative at IMAPS Device Packaging

03/08/2011 

Optomec Aerosol Jet product manager Mike O’Reilly will give a presentation titled "Aerosol Jet Printing as an Alternative to Wire Bond and TSV Technology for 3D Interconnect Applications" at the IMAPS Device Packaging Conference on March 9.

Scaling transistors: from new materials to new device architectures

03/01/2011  Strain and high-k/metal gates have been used to answer near-term scaling challenges. A consistent theme has been the introduction of new materials, and this will only expand in future nodes as strain techniques lose their effectiveness due to shrinking dimensions and technologists look to integrations more advanced than conventional planar FETs. Bill Taylor, Chris Hobbs, SEMATECH, Albany NY, USA

High-k metal gate characterization using picosecond ultrasonic technology

03/01/2011  Picosecond ultrasonic technology can be used during various stages of process development, integration, and volume manufacturing for monitoring the HKMG stack; this information can be used to characterize the process, and optimize deposition and CMP processes. J. Dai, P. Mukundhan, J. Chen, J. Tan, Rudolph Technologies, Flanders, NJ USA; D.B. Hsieh, T.C. Tsai, 1-United Microelectronics Corp., Tainan, Taiwan

High-k semiconductor materials from a chemical manufacturer perspective

02/28/2011 

High-k semiconductor materials from a chemical manufacturer's perspectiveGeoff Irvine, SAFC Hitech, reviews the development and introduction of high-k layers into the semiconductor industry, and what the next 20 years might bring in the next-generation high-k and ultra-high-k layers and precursors.

Samsung announces wide I/O DRAM with TSVs for mobile apps

02/27/2011 

Weeks after announcing a 40nm 8GB DDR3 memory with 3D through-silicon vias (TSV), Samsung is showing a wide I/O 1GB DRAM also utilizing 3D TSVs, targeting mobile applications.

AMAT more bullish on 2011, WFE spending

02/25/2011 

Applied Materials (AMAT) beat estimates in its fiscal 1Q11 results released yesterday (Feb. 24), and the outlook for the entire year is looking up. "2010 was a strong recovery year across the board, and 2011 is shaping up to be even better," said top exec Michael Splinter.

ISSCC report: Fast changes in wireless, imaging

02/24/2011 

Michel Durr, program manager from Leti, reports from this week's International Solid-State Circuits Conference (ISSCC), highlighting rapid changes in devices targeting wireless communications, and support for this year's show theme of "electronics for healthy living."

Implantable mm scale computing self referencing antenna U Michigan

02/22/2011 

Photo: Designed for use in an implantable eye-pressure monitor, University of Michigan researchers developed what is believed to be the first complete millimeter-scale computing system. Credit: Greg Chen.A prototype implantable eye pressure monitor for glaucoma patients is believed to contain the first complete millimeter-scale computing system. And a compact radio that needs no tuning to find the right frequency could be a key enabler to organizing millimeter-scale systems into wireless sensor networks.

Researchers tweak graphene FET for nonvolatile memory

02/21/2011 

Adding a SiO2 dielectric gate to a graphene FET device opens the door for its use in nonvolatile memory, say researchers from Singapore's Agency for Science, Technology, and Research (A*STAR).

IBM tops 2010 patents list again

02/17/2011 

IBM said that its inventors received a record 5896 US patents in 2010, marking the 18th consecutive year it has topped the list of the world's most inventive companies, and the first time a company has received >5000 patents in one year in the US.

Taiwan DRAM consolidation take 2: Elpida pulls in Powerchip, ProMOS

02/17/2011 

Finally feeling the heat of price whipsaws (or withering stare of inevitability), Taiwan DRAM players seem ready to embrace tie-ups with Japan's Elpida Memory.

Samsung grew DRAM lead in Q4, says IHS iSuppli

02/16/2011 

Samsung Electronics Co. Ltd. grew its already commanding share of the dynamic random access memory (DRAM) market in the fourth quarter of 2010, according to new IHS iSuppli research. Shifts in DRAM market share will occur in 2011, IHS believes.

STATS ChipPAC launches flip chip packaging for advanced silicon nodes

02/16/2011 

STATS ChipPAC launched fcCuBE technology, an advanced flip chip packaging technology that features copper (Cu) column bumps, bond-on-lead (BOL) interconnection and enhanced assembly processes. STATS ChipPAC claims the flip chip package is cost-comprable to standard packaging processes, and compatible with shrinking semiconductor device nodes down to 28nm.

Camtek logs CMOS image sensor inspection unit orders

02/15/2011 

Camtek Ltd. (Nasdaq:CAMT; TASE:CAMT) received an order for multiple wafer inspection systems from one of the world's largest manufacturers of CMOS image sensors (CIS).

Intel Capital leads InVisage Series C venture funding

02/15/2011 

InVisage pixel capture as compared to traditional image sensors.InVisage Technologies, image sensor technology start-up, received its series C round of venture funding, led by Intel Capital. The undisclosed amount will be used to bring the company's quantum-dot-based QuantumFilm technology and products into mass production.

SOI Consortium unveils FDSOI results

02/14/2011 

FDSOI, Horacio MendezHoracio Mendez, executive director of the SOI Industry Consortium, discusses how planar FD-SOI technology enables substantial improvements in performance and power consumption. The SOI Industry Consortium recently announced results of an assessment and characterization of FDSOI, based on the ARM Cortex processor as a prototyping vehicle.

Tunable nano ribbons form future nanoelectronics spintronics devices

02/14/2011 

Topological insulators act as both insulators and conductors, with their interior preventing the flow of electrical currents while their edges or surfaces allow the movement of a charge. Surface conduction channels in BiTe topological insulator nano ribbons are tunable, according to new research from UCLA and Australia's U of Queensland.

Nanolasers grown on silicon using MOCVD

02/10/2011 

UC Berkeley research on nano lasers for Si, III-V integrationNanolasers grown directly on a silicon surface could be a starting point for better microprocessors, biochemical sensors, and other optoelectronic products. UC Berkeley researchers grew nanopillars made of indium gallium arsenide, a III-V material, onto a silicon surface at 400°C.




WEBCASTS



Environment, Safety & Health

Date and time TBD

The semiconductor industry is an acknowledged global leader in promoting environmental sustainability in the design, manufacture, and use of its products, as well as the health and safety of its operations and impacts on workers in semiconductor facilities (fabs). We will examine trends and concerns related to emissions, chemical use, energy consumption and worker safety and health.

Sponsored By:

Wafer Processing

Date and time TBD

As the industry moves to 10nm and 7nm nodes, advances in wafer processing – etch, deposition, planarization, implant, cleaning, annealing, epitaxy among others – will be required. Manufacturers are looking for new solutions for sustained strain engineering, FinFETs, FDSOI and multi-gate technologies, 3D NAND, and high mobility transistors.

Sponsored By:

More Webcasts