Lithography

LITHOGRAPHY ARTICLES



Matsushita, Renesas now testing 45nm SoCs

08/03/2006  August 3, 2006 - Matsushita Electric Industrial Co. Ltd. and Renesas Technology Corp. say they have entered full integration testing of 45nm system-on-chip (SoC) manufacturing technology. The process will be used by both companies in manufacturing SoCs for mobile products and networked consumer electronics products.

Photronics cuts 3Q outlook, cites FPD mask slump

08/01/2006  August 1, 2006 - Photronics Inc., Brookfield, CT, has lowered its outlook for fiscal 3Q06, citing a shortfall in flat-panel display mask orders and shipments vs. previous forecasts, particularly in Korea and Taiwan.

Furniture

08/01/2006  Comfort is important to contamination-control professionals confined to the cleanroom or lab for extended periods of time.

ASML, Gigaphoton ink litho support pact

07/26/2006  July 26, 2006 - Laser light source manufacturer Gigaphoton Inc. said it will now support US customers of Dutch litho tool provider ASML, including laser installation and sustaining maintenance, and said the deal could expand to other parts of the world "in due course."

Photronics opens China maskmaking shop, hires Asia CTO

07/26/2006  July 26, 2006 - Photronics Inc., Brookfield, CT, has officially opened its photomask manufacturing site in Shanghai, China, about three years after announcing plans for its formation. A production ramp is planned by 4Q06 at the facility in the Zhangjiang Semiconductor Industrial Park, which the company noted is the first merchant photomask fab to be brought online in the Shanghai region in more than a decade.

PART II: Litho, metrology, mask/OPC tools make a splash at SEMICON West

07/25/2006  Continuing his report on this year's SEMICON West, senior editor M. David Levenson looks at the latest tools for immersion lithography and e-beam, new metrology from startup firms, and innovations from companies involving mask substrate tuning and automating OPC.

Veeco announces new PVD order, record automated AFM orders

07/25/2006  Veeco Instruments Inc. announced that it received an order during the second quarter of 2006 for its new NEXUS Physical Vapor Deposition (PVD) Multi-Target Sensor tool from a leading manufacturer of thin film magnetic heads. The system is used to deposit high-quality, extremely uniform, thin film multi-layer stacks and will be used by the customer to manufacture high areal density Tunneling Magneto-Resistive heads.

Magma, Brion linking tools for common litho modeling environment

07/19/2006  July 19, 2006 - Magma Design Automation Inc. and Brion Technologies say they plan to link their technologies in order to create a common modeling environment for lithography. The combined environment, expected to be available in late 2006, will span physical design, physical verification, resolution enhancement techniques (RET), optical proximity correction (OPC), and OPC verification.

Auto-fix for hot-spots in nanometer node designs

07/19/2006  DFM start-up Takumi Technologies, building on its mask-data preparation (MDP) work for NEC and other customers, is now promoting its ability to automatically detect, classify, and repair yield-limiting design "hot spots" -- areas of a design layout which, due to process or geometric conditions, fall outside of process windows, resulting in potential catastrophic or parametric failure.

Asylum releases new NanoIndenter module for AFM

07/19/2006  Asylum Research, a Santa Barbara, Calif., manufacturer of atomic force microscopes, announced the availability of its new NanoIndenter module for use with the Asylum Research MFP-3D AFM System.

Examining litho progress, prospects at SEMICON West

07/18/2006  In a first-day presentation at SEMICON West, Klaus Rinnen of Gartner/Dataquest reported a bright outlook for 2006, both for the semiconductor industry and its equipment suppliers, followed by a potential "soft patch" in 2007, and an upturn to record levels in 2008. That feeling of comfort combined with tempered optimism characterized the entire atmosphere at SEMICON West -- everyone seemed to feel that things were good now and the future no more uncertain than usual, in our cyclical industries.

TSMC rolls out 65nm reference flow

07/17/2006  July 17, 2006 - Taiwan Semiconductor Manufacturing Co. (TSMC) has introduced Reference Flow 7.0 for its 65nm process technology, offering statistical static timing analyzer, power management techniques, and various DFM enhancements, including tools from Magma Design Automation.

Analyst: Flip-chip demand boosting litho, wet etch markets

07/12/2006  July 12, 2006 - A projected 28% compound annual growth rate for the flip-chip packaging sector will give a big boost to vendors of lithography and etch systems, according to data from The Information Network.

IMEC adds double-patterning to 193nm immersion, EUV litho efforts

07/12/2006  July 12, 2006 - European research consortium IMEC has extended its research program for 193nm immersion lithography to include double-patterning techniques to address needs of leading-edge process technologies, particularly for flash memory devices. The program now runs parallel to IMEC's other lithography efforts targeting hyper-NA immersion and extreme-ultraviolet lithography (EUV).

Nikon, ASML ready for "last" battle with 193nm high-NA water immersion tools

07/11/2006  In what should be the last roundup of water-based 193nm immersion lithography systems, two of the big lithography tool vendors are coming out with their latest tools targeting 45nm (logic) and 32nm (memory) semiconductor manufacturing. Nikon's NSR-S610C ArF immersion scanner (1.30NA) is scheduled for deliveries by year's end, while ASML's Twinscan XT:1900i with 1.35NA optics, is being prepped for deliveries sometime in mid-2007.

Building on its decade of leadership in China, Toppan Photomasks expands Shanghai site

07/11/2006  July 10, 2006 -- /BUSINESS WIRE/ -- ROUND ROCK, Texas -- Toppan Photomasks, Inc. today announced plans to expand its Shanghai facility, adding capacity to produce photomasks used to manufacture semiconductor devices with 180nm design rules, and additional lithography and inspection capacity for 250nm-and-above products.

Dow corning, Rohm and Haas pair for sub-65nm litho coatings

07/10/2006  July 10, 2006 - Rohm and Haas Electronic Materials Microelectronic Technologies and Dow Corning Corp. have renewed a joint development agreement to work on novel spin-on silicon hardmask antireflective coatings for sub-65nm lithography in flash, DRAM, and logic IC devices.

Entegris launches new immersion lithography innovation

07/10/2006  July 6, 2006 -- /BUSINESS WIRE/ -- CHASKA, Minn. -- Entegris, Inc. (Nasdaq:ENTG) announced today that it will introduce its LiquidLens(TM) UPW (ultrapure water) purification system next week at the semiconductor global trade show SEMICON West 2006 in San Francisco.

Cymer tips ArF source for 45nm immersion litho

07/07/2006  July 6, 2006 - Cymer Inc. has unveiled an argon fluoride (ArF) laser light source targeting 45nm production immersion photolithography, touting a 1.5x improvement in energy stability performance and >20% reduction in cost-of-ownership vs. previous-generation ArF products.




WEBCASTS



Environment, Safety & Health

Date and time TBD

The semiconductor industry is an acknowledged global leader in promoting environmental sustainability in the design, manufacture, and use of its products, as well as the health and safety of its operations and impacts on workers in semiconductor facilities (fabs). We will examine trends and concerns related to emissions, chemical use, energy consumption and worker safety and health.

Sponsored By:

Wafer Processing

Date and time TBD

As the industry moves to 10nm and 7nm nodes, advances in wafer processing – etch, deposition, planarization, implant, cleaning, annealing, epitaxy among others – will be required. Manufacturers are looking for new solutions for sustained strain engineering, FinFETs, FDSOI and multi-gate technologies, 3D NAND, and high mobility transistors.

Sponsored By:

More Webcasts