Lithography

LITHOGRAPHY ARTICLES



NanoDynamics seeks to market nanowires

12/01/2005  December 2, 2005 - NanoDynamics Inc. and New Zealand-based partner Nano Cluster Devices Ltd. (NCD) say they will commercialize new nanowire fabrication technology, for use in semiconductors and nanoscale electronic devices.

TSMC exec promotes 'gigafabs' and 2x tool productivity

11/02/2005  November 2, 2005 - At International SEMATECH Manufacturing Initiative's (ISMI) 2nd Symposium on Manufacturing Effectiveness, Austin, TX, TSMC's VP of operations Mark Liu told participants he believes that the consumer electronics era may drive IC manufacturers to build more flexible "gigafabs" and persuade equipment makers to double tool productivity.

Cleanroom research focuses on next-gen wafer lithography

11/01/2005  A 2,600-square-foot cleanroom, previously occupied by Infineon, is the new site of research and development of emerging wafer-lithography technologies being conducted by Fraunhofer Center for Nanoelectronic Technologies.

Molecular Imprints names new CEO

10/18/2005  Molecular Imprints Inc., a maker of nanoimprint lithography tools, announced that it has appointed Mark Melliar-Smith as chief executive officer. Melliar-Smith was previously COO.

Eight new SEMI standards cover FPDs and MEMS

10/14/2005  October 13, 2005 - Semiconductor Equipment and Materials International (SEMI) has published eight new technical standards for the semiconductor, flat-panel display (FPD), and microelectromechanical systems (MEMS) manufacturing industries.

Indium Grows Western U.S. Sales Team

10/12/2005  Utica, N.Y. — In efforts to provide enhanced customer service, Indium Corp. has expanded its sales team in the western U.S. Bill Macartney IV is the new U.S. Rocky Mountain regional sales manager, Tony Przano is the new Southwest regional sales manager, and Karthik Vijayamadhavan is the new area sales manager for the West Coast.

SMIC, Luminescent enter JDP on 65nm and below nodes

10/06/2005  October 6, 2005 - Semiconductor Manufacturing International Corporation (SMIC), a Chinese semiconductor foundry, and Luminescent Technologies Inc., a provider of lithography enhancement systems, have announced a joint development program to evaluate Luminescent's inverse lithography technology (ILT) products in SMIC's production environment for its 65nm and below process nodes.

Litho-aware design inspection solution improves device yield

10/05/2005  October 5, 2005 - KLA-Tencor has formally unveiled DesignScan, the industry's first full-chip process window inspection system for post-RET (resolution enhancement technology) reticle design layout inspection. DesignScan enables chipmakers to reduce the number of mask design respins needed to achieve a high-yielding design, resulting in better parametric design performance and faster time-to-market.

SEMATECH, Synopsys to develop OPC models for 45nm immersion litho

10/05/2005  October 5, 2005 - Synopsys Inc. and SEMATECH will jointly develop advanced optical proximity correction (OPC) models for the extension of optical lithography. A key goal of the program, part of SEMATECH's 193nm Immersion Lithography Extendibility Project, is to facilitate better understanding of the challenges in process nodes beyond 45nm so participants can develop appropriate software and manufacturing processes. Synopsys will provide its Proteus mask synthesis stoftware.

Brion, Crolles2 alliance partners to develop litho process window apps

10/03/2005  October 3, 2005 - Brion Technologies has announced a joint development agreement with STMicroelectronics, Philips Semiconductors, and Freescale Semiconductor, R&D partners in the Crolles2 Alliance. Brion has delivered RET/OPC process window-enabled applications that run on the company's hardware-accelerated Tachyon platform.

IBM, Applied, Albany NanoTech forge $300M pact

09/29/2005  September 29, 2005 - IBM Corp., Applied Materials, and Albany NanoTech in New York have agreed to begin a five-year, $300 million R&D and economic outreach program, bringing in more than 100 engineers to work on six areas of next-generation chip technology: 300mm epitaxial substrates, ultralow-k dielectrics, atomic-layer deposition, chemical-mechanical polishing, copper plating, and ultrafine ion implantation technology for nanoscale semiconductor devices (e.g. ultrashallow junctions).

They're in the money: pair of nano financings announced

09/21/2005  Two large nanotech-related private financings were announced on Tuesday. Molecular Imprints raised $17 million in the first closing of a Series C round. Kereos announced a $19.5 million Series B.

ASML licenses technology to Toshiba

09/20/2005  September 20, 2005 - ASML Holding NV today announced that electronics giant Toshiba Corp. purchased a license for its patented lithography technology that helps manufacturers increase their chip yields. Toshiba joins more than 20 chipmakers and foundries in adopting ASML's Scattering Bar Technology. Toshiba will use the technology in the production of semiconductor devices.

IMEC shows 193nm immersion progress on ASML system

09/16/2005  September 16, 2005 - At the recent 2nd International Symposium on Immersion Lithography, held in Brugges, Belgium, the Interuniversity MicroElectronics Center (IMEC) presented the first conclusive exposure results on its newly upgraded ASML XT:1250i immersion lithography tool.

SEMATECH receives key litho tool to develop advanced ICs

09/02/2005  September 2, 2005 - SEMATECH has received a key tool for exploring the extension of immersion lithography to produce advanced semiconductors at the 45 nm half-pitch lithography generation and beyond. The tool, an interference immersion exposure system from Amphibian Systems, will be placed in the Immersion Technology Center, which focuses on extending immersion lithography beyond pure water-based approaches.

Molecular Imprints announces 300th patent filing

08/31/2005  Molecular Imprints Inc., a provider of nanoimprint lithography technology, announces the filing of the 300th patent application worldwide related to its S-FIL, or step-and-flash imprint lithography, technology.

Micronic Receives Order for Laser Pattern Generator from PPt

08/30/2005  Taby, Sweden — Micronic Laser Systems AB has received an order from Phoenix Precision Technology Corp. (PPt), an IC packaging photomask supplier and PBGA substrate producer, for Micronic's MP80+ multi-purpose, laser pattern generation system.




WEBCASTS



Environment, Safety & Health

Date and time TBD

The semiconductor industry is an acknowledged global leader in promoting environmental sustainability in the design, manufacture, and use of its products, as well as the health and safety of its operations and impacts on workers in semiconductor facilities (fabs). We will examine trends and concerns related to emissions, chemical use, energy consumption and worker safety and health.

Sponsored By:

Wafer Processing

Date and time TBD

As the industry moves to 10nm and 7nm nodes, advances in wafer processing – etch, deposition, planarization, implant, cleaning, annealing, epitaxy among others – will be required. Manufacturers are looking for new solutions for sustained strain engineering, FinFETs, FDSOI and multi-gate technologies, 3D NAND, and high mobility transistors.

Sponsored By:

More Webcasts