Lithography

LITHOGRAPHY ARTICLES



DuPont Photomasks receives Spansion award for yield improvements

03/25/2005  March 25, 2005 - DuPont Photomasks Inc. has received a Spotlight Award from flash memory device maker Spansion LLC for helping Spansion's FAB25 in Austin, TX, enhance wafer yield. Spansion's award recognizes DuPont Photomasks' role in a joint-development project aimed at boosting yield by targeting improvement of layer-to-layer registration when chip designs are etched on wafers. Spansion, formerly known as FASL LLC, is the flash memory subsidiary of AMD and Fujitsu Limited.

Photronics expands FPD mask fabrication, service into Taiwan

03/22/2005  March 22, 2005 - Photronics Inc. has announced plans to expand its flat panel display (FPD) mask capacity through the construction of a state-of-the-art FPD mask fabrication facility in Taichung, Taiwan. This new 10,100 sq. m. facility will support Taiwan's rapidly expanding FPD fabrication industry.

SPIE Report: Canon, Nikon prep multistage immersion platforms

03/14/2005  New hyper-NA tools aim to boost throughput over ASML's Twinscan

By J. Robert Lineback, Senior Technical Editor

With no "showstoppers" identified yet in immersion lithography, rival scanner makers ASML, Canon, and Nikon are accelerating efforts to take 193nm "wet" exposure tools to the next level, quickly pushing numerical aperture lenses to their feasible limits in systems using water to boost depth of field and resolution for 65nm and 45nm processes.

Entegris Signs License Agreement, Introduces New Products

03/01/2005  (March 1, 2005) Chaska, Minn. — Entegris announces that they have signed a license patent agreement with one of its three Japanese competitors in the wafer-carrier market. The agreement includes royalty payments to Entegris for past and future shipments of 300-mm wafer carriers by the Japanese company. Terms of the agreement were not disclosed, nor was the Japanese company identified.

Making It Practical

03/01/2005  There are dreamers and schemers, but rarely are both qualities so evident as in the world of advanced packaging.

March 2005 Exclusive Features
SPECIAL REPORT: 2004 ITRS Update


02/16/2005 

Roadmap update sharpens industry's future course

Solid State Technology has collaborated with leaders in various technical working groups of the 2004 International Technology Roadmap for Semiconductors (ITRS) to highlight the most significant updates in the new edition of the Roadmap, as well as major challenges to be addressed in the 2005 revision.

Maskless lithography industry parses business, technical challenges

02/15/2005  By M. David Levenson, Senior Editor, Lithography

Will an industry that expects optical exposure tools to print more than 100 300mm wafers/hour embrace $20 million lithography systems with throughputs below 5 wafers/hour if they don't require costly masks? If so, at what node? These were two of the questions that 110 participants tried to answer at the first Sematech Maskless Lithography (ML2) workshop, held January 17-19 in San Jose, CA.

STEAG HamaTech, SEMATECH partner on mask cleaning technology

02/11/2005  February 11, 2005 - STEAG HamaTech announced today that it will work with SEMATECH to develop cleaning technology for 30nm soft defect removal, concentrating on EUV masks and advanced PSM for 193nm immersion lithography, in collaboration with material suppliers, research institutes. and other equipment suppliers.

Diminishing defects in EUV lithography boost hopes for small manufacturing

02/01/2005  ALBANY, N.Y.- Sematech North has produced extreme ultraviolet (EUV) mask blanks with as few as one 80-nanometer (nm) or larger defect per mask. The 0.005 defect per square centimeter achievement was accomplished through rigorous contamination control and defect reduction

Cymer partners with IMEC on immersion litho

01/25/2005  January 25, 2005 - Cymer Inc. has announced the integration of a Cymer XLA 105 argon fluoride (ArF) light source on a 0.85 numerical aperture (NA) immersion lithography tool at IMEC's 300mm wafer fab facility in Leuven, Belgium.

Photronics acquires additional shares of PKL Ltd. in Korea

01/24/2005  January 24, 2005 - Photronics Inc., a supplier of imaging technology solutions for the global electronics industry, on Friday reported that it has acquired an additional 6.5% interest in PKL Co. Ltd. in Korea. As a result of this transaction, Photronics now owns approximately 87% of PKL.

mPhase's next phase: nanobatteries

01/24/2005  In more ways than one, it's a delicate balancing act that mPhase Technologies Inc. wants to execute. First is the company's technology: making a nanobattery by placing droplets of water on filaments of silicon. A jolt of electricity then bursts the droplet, it soaks the silicon, and pent-up energy is released. Then there is the company itself. Eight years old, mPhase was founded to sell telecommunications components. Leveraging that business in nanotech is quite a jump.

What's next after IBM, TSMC immersion lithography tests?

01/21/2005  J. Robert Lineback, Senior Technical Editor

The next big step for development of 193nm immersion lithography will be fully equipped R&D pilot lines, expected to be operational in early 2005. These pilot lines will define immersion-related defectivity issues in functioning devices.

IBM says immersion works - at least in one microprocessor layer

01/21/2005  J. Robert Lineback, Senior Technical Editor

Out of the blue comes an unexpected milestone in immersion lithography. IBM Corp. has plunged ahead and used a 193nm immersion scanner to print an interconnect layer on fully functional 64-bit microprocessors to prove that adding water to lithography really works.

Europe looks to lead in nanoelectronics

01/21/2005  Brian Dance, Contributing Editor (Europe) Illustrating the need to ensure European technological and industrial competitiveness in the nanoelectronic sector as essential for industrial and economic growth, the MEDEA+ (Microelectronics Development for European Applications) annual forum in Paris (Nov. 23-24) revealed successes of many pan-European collaborative projects carried out under its umbrella.

DuPont Photomasks to close Kokomo, IN, facility

01/19/2005  January 19, 2005 - DuPont Photomasks Inc. has said that as part of a consolidation plan designed to reduce costs and improve capital productivity, operations in its trailing-edge photomask production facility in Kokomo, Indiana, will begin to ramp down immediately. The equipment within the Kokomo site will be disposed of or relocated to other DuPont Photomasks sites, and the facility is expected to close during the 4QFY05, ending June 30.

Dow Corning CTO: Nano can expand market opportunities

01/17/2005  In many markets, you have to win the technology battle first to win the market competition. With its ability to catalyze innovation across markets and solve a broad array of problems, nanotech can help a company win this first battle. We see opportunities in lithography, photonic crystal technology and sensors.

Soitec partners with Sematech to develop multigate FETs for 45nm and below

01/04/2005  January 4, 2005 - In an effort to accelerate the development of new-generation transistors, Soitec has announced its participation as the SOI substrate supplier in a development program led by the Advanced Technology Development Facility (ATDF), the new independent subsidiary of Sematech for advanced semiconductor R&D in Austin, TX.




WEBCASTS



Environment, Safety & Health

Date and time TBD

The semiconductor industry is an acknowledged global leader in promoting environmental sustainability in the design, manufacture, and use of its products, as well as the health and safety of its operations and impacts on workers in semiconductor facilities (fabs). We will examine trends and concerns related to emissions, chemical use, energy consumption and worker safety and health.

Sponsored By:

Wafer Processing

Date and time TBD

As the industry moves to 10nm and 7nm nodes, advances in wafer processing – etch, deposition, planarization, implant, cleaning, annealing, epitaxy among others – will be required. Manufacturers are looking for new solutions for sustained strain engineering, FinFETs, FDSOI and multi-gate technologies, 3D NAND, and high mobility transistors.

Sponsored By:

More Webcasts