Lithography

LITHOGRAPHY ARTICLES



Intel readies 65nm process technology for 2005

08/30/2004  August 30, 2004 - Last week, Intel presented highlights of its 65nm process technology, which the company has demonstrated on fully functional 70Mbit SRAM chips containing more than 0.5 billion transistors (each cell contains six transistors). The news comes only nine months after the company's disclosure of its first 0.57 sq. micron SRAM logic cell at 65nm.

Albany nanotech facility begins 300mm/193nm work

08/26/2004  August 26, 2004 - Albany Nanotech, the nanotechnology center located at the U. of Albany/State U. of New York, said that its College for Nanoscale Science and Engineering (CSNE) has installed and begun qualifying for 300mm wafers using a 193nm preproduction immersion lithography system.

EV Group expands UV-nanoimprint consortium

08/25/2004  August 25, 2004 - EV Group today announced that a major Canadian research organization has joined its nanoimprint lithography (NIL) consortium formed to commercialize this technology.

Ion beams light way for 4Wave fab process

08/23/2004  Applying a precision technique known as ion sputtering, Sterling, Va.-based 4Wave produces atomically thin films used for making next-generation optical chips. The 4Wave chips integrate four distinct optical filters and a reflective mirror on a flat glass surface.

UMC powers up 0.18-micron high-voltage process

08/18/2004  August 18, 2004 - Taiwanese foundry United Microelectronics Corp. (UMC) has made available its 0.18-micron embedded high-voltage technology process, used with portable LCD products to power transistors for applications such as cell phone displays.

Ion Systems appoints Conor O'Mahony Vice President of Sales and Services

08/16/2004  August 16--BERKELEY, Ca.--Ion Systems, a leading supplier of electrostatics management solutions for high technology and industrial applications, has announced the appointment of Conor O'Mahony as vice president of sales and services. Mr. O'Mahony will be responsible for worldwide sales and support of Ion technology products.

TEL, CEA Leti extend work on 300mm, advanced nodes

08/09/2004  August 9, 2004 - Tokyo Electron Ltd. (TEL) and European research organization CEA Leti have agreed to jointly research and develop front-end-of-line CMOS process technology, focusing on new materials for CMOS gate stacks.

Intel reports EUV progress, future plans

08/02/2004  August 2, 2004 - Intel Corp. said it has finished installation of the world's first pilot line for extreme-ultraviolet (EUV) lithography at its 300mm Hillsboro, OR, facility, in an effort to move the technology out of R&D and on track for 32nm IC production by 2009.

LumArray carves niche in photolithography industry

08/02/2004  In every sense of the phrase, LumArray Inc. wants to rip the mask off the world of photolithography. Launched only this summer, the latest startup from MIT has a new technology to fire beams of light through a mosaic of micro-machined lenses onto a substrate.

Tegal awarded patents for nano layer deposition

07/22/2004  (BUSINESS WIRE, July 22, 2004) Petaluma, Calif.—Tegal Corporation has been granted United States Patents Nos. 6,689,220 and 6,756,318, which enable nano layer deposition (NLD) of conformal thin films for barrier, copper seed and high-K dielectric applications in advanced microprocessor and memory device production.

TEL, IMEC to work on 193nm immersion litho

07/09/2004  June 9, 2004 - While SEMATECH turns its attention to 193nm immersion lithography development, Tokyo Electron Ltd. and European research consortium IMEC have expanded their collaboration on lithography technology to include 193nm immersion.

Applied takes wraps off sub-65nm CVD system

07/08/2004  June 8, 2004 - Applied Materials, Santa Clara, CA, has unveiled what it calls a "breakthrough" in chemical-vapor deposition technology: a CVD system that meets requirements for 65nm and below.

SEMATECH spins off R&D wafer fab

07/08/2004  July 8, 2004 - International SEMATECH, Austin, TX, has created an independent subsidiary of its R&D wafer fab and associated analytical laboratories, to offer a range of services including custom development and prototyping, wafer-processing, and analytical and electrical testing.

SEMATECH revs up 193nm work

07/07/2004  July 7, 2004 - Fresh from shuttering its 157nm immersion lithography efforts, International SEMATECH has formed a 193nm Immersion Technology Center (iTC) to support development of the technology, and an initial project to focus on development of the world's first ultrahigh-NA (NA=1.3) 193nm wavelength immersion lithography tool.

NEMI makes lead-free part identification recommendations

06/22/2004  (June 22, 2004) Herndon, Va.—The National Electronics Manufacturing Initiative's (NEMI's) Component and Board Marking Project recently made recommendations for the identification of electronic components and board assemblies that have been modified for use in lead-free assembly processes.

Photronics installing 65nm manufacturing capability

06/21/2004  June 21, 2004 - The first tools should be arriving shortly at Photronics Inc.'s Austin, TX, facility, the company said today, as it outlined its plans and timing for the installation of advanced reticle manufacturing and process technology necessary to support semiconductor manufacturers building ICs with feature sizes for the 65nm node.

Dresden hopeful to create nanotech research center

06/10/2004  The German city of Dresden is one of the country's shining stars with its plans to create a nanotech research center focusing on advanced chip development are successful. The government announced this week that it is in advanced talks in hopes of creating the center.

SEMATECH picks Carl Zeiss for 193nm development

06/09/2004  June 9, 2004 -International SEMATECH has selected German lithography firm Carl Zeiss SMT to develop a defect review tool for 193nm immersion lithography photomasks.

Cadence and ASML agree to develop advanced DFM solutions

06/08/2004  June 8, 2004 -- Cadence Design Systems Inc. and ASML MaskTools have agreed to a multi-year, multimillion dollar software licensing and joint development agreement for advanced RET software solutions.




WEBCASTS



Environment, Safety & Health

Date and time TBD

The semiconductor industry is an acknowledged global leader in promoting environmental sustainability in the design, manufacture, and use of its products, as well as the health and safety of its operations and impacts on workers in semiconductor facilities (fabs). We will examine trends and concerns related to emissions, chemical use, energy consumption and worker safety and health.

Sponsored By:

Wafer Processing

Date and time TBD

As the industry moves to 10nm and 7nm nodes, advances in wafer processing – etch, deposition, planarization, implant, cleaning, annealing, epitaxy among others – will be required. Manufacturers are looking for new solutions for sustained strain engineering, FinFETs, FDSOI and multi-gate technologies, 3D NAND, and high mobility transistors.

Sponsored By:

More Webcasts