Lithography

LITHOGRAPHY ARTICLES



Sigma-C introduces EUV software

12/11/2003  December 11, 2003 - Sigma-C GmbH, Munich, Germany, has developed new software to help determine the printability of defects on EUV masks.

EV Group, AMO ink cooperation agreement

12/05/2003  (December 5, 2003) Schärding, Austria—EV Group (EVG), a MEMS, nano and semiconductor wafer processing equipment manufacturer, has entered into a cooperation agreement with AMO GmbH (AMO), on advanced ultraviolet-nanoimprint lithography (UV-NIL).

Nikon sets sights on immersion litho

12/04/2003  December 2, 2003 - Nikon Corp., Belmont, CA, has unveiled plans to introduce ArF immersion lithography equipment, based on its NSR-S307E 193nm lithography tool.

ASML inks another litho deal

12/04/2003  November 25, 2003 - A week after announcing a similar partnership with Dainippon Screen, ASML NV, Veldhoven, The Netherlands, has signed a deal with Tokyo Electron Ltd. to link the companies' lithography and track systems.

Sematech qualifies low-k material

12/04/2003  November 28, 2003 - International Sematech, Austin, TX, says it has qualified an ultra-low-k material for dual damascene copper processing at 0.13-micron features, using 193nm lithography on 300mm wafers.

ASML, Dainippon Screen sign litho deal

12/04/2003  November 21, 2003 - ASML NV, Veldhoven, The Netherlands, and Dainippon Screen Manufacturing Co. Ltd., Kyoto, Japan, have agreed to co-develop methods for linking their track and lithography systems.

Canon: Immersion is the future

12/04/2003  November 17, 2003 - Immersion lithography could replace super-high NA 193nm lithography for 65nm and 45nm production, according to an executive in Canon USA Inc.'s semiconductor equipment division.

Texas nano firm nets $15M in ongoing round

12/04/2003  Molecular Imprints Inc., an Austin, Texas, developer of imprint lithography systems, has made an initial close on $15 million of an ongoing $30 million Series B funding round, according to a company spokeswoman.

AMI Semiconductor aims to lower mask costs with structured ASICs

12/03/2003  By combining pre-processed wafers from TSMC -- up to metal level 2 -- and then providing custom programming for as many as five metal levels, AMI Semiconductor says it can cut the cost of reticles to about one-quarter of the usual cost.

Canon receives AMD award

11/13/2003  November 5, 2003 - Canon USA's Semiconductor Equipment Division has received a 2002 Spotlight Award from AMD for its role in servicing and supporting AMD's lithography needs.

Measuring 'hive' or marketing jive: Nanotools study greater than sum?

11/04/2003  A recent market study that predicts 2004 should be a good year for nanotechnology toolmakers may not be as noteworthy as the motive behind the study, itself – discovering nanotech's "hive intelligence." Think of the nano sector as an ant farm. Input some anonymous, thus possibly more frank, data about individual workers, and the entire industry moves up the intelligence food chain.

Bell Labs builds designer laser

11/03/2003  A team at Lucent Technologies' Bell Labs has built a photonic crystal-based laser that could be used in powerful, sensitive optical devices and chemical detectors, according to a news release.

Albany NanoTech buys systems from Genus

10/28/2003  Oct. 28, 2003 - Albany NanoTech has ordered two atomic-layer deposition systems from Genus Inc., a Sunnyvale, Calif.-based developer of semiconductor and data storage device manufacturing equipment, according to a company news release.

New ASML 193nm tool: 70nm resolution for 200mm fabs

10/24/2003  ASML's latest 193nm Twinscan XT:1250 stepper makes little incremental improvements to get ordinary old optical lithography down to 70nm half-pitch line resolution with ordinary old binary masks -- for ordinary old 200mm fabs, as well as 300mm.

Micronic unveils mask metrology system

10/24/2003  October 23, 2003 - Micronic Laser Systems AB, Taby, Sweden, has introduced a new registration measurement system for TFT-LCD photomasks.

Five major chipmakers join IMEC's sub-45nm research platform

10/20/2003  IMEC, the independent microelectronic research center based in Leuven, Belgium, announced at its annual research review meeting on Oct. 13 that five major chipmakers have joined the center's sub-45nm research platform.

REPORT: SARS not an airborne virus

10/20/2003  OCT. 20--MANILA--There is no evidence to suggest that SARS (severe acute respiratory syndrome) is an airborne virus, the World Health Organization (WHO) said in a report.

Dai Nippon, STMicro open Italy plant

10/16/2003  October 15, 2003 - Japan's Dai Nippon Printing Co. and STMicroelectronics have opened a joint photomask plant in Agrate, Italy.

Mitsubishi, Fuji Xerox join for optical chips

10/16/2003  October 8, 2003 - Mitsubishi Heavy Industries and Fuji Xerox have agreed to jointly develop technology combining the functions of optical switches and transformers into a single component.

NSF awards $30M for two nanoscale centers

10/15/2003  Oct. 15, 2003 - The National Science Foundation has awarded two grants worth $30 million to university-led research teams to create Nanoscale Science and Engineering Centers.




WEBCASTS



Environment, Safety & Health

Date and time TBD

The semiconductor industry is an acknowledged global leader in promoting environmental sustainability in the design, manufacture, and use of its products, as well as the health and safety of its operations and impacts on workers in semiconductor facilities (fabs). We will examine trends and concerns related to emissions, chemical use, energy consumption and worker safety and health.

Sponsored By:

Wafer Processing

Date and time TBD

As the industry moves to 10nm and 7nm nodes, advances in wafer processing – etch, deposition, planarization, implant, cleaning, annealing, epitaxy among others – will be required. Manufacturers are looking for new solutions for sustained strain engineering, FinFETs, FDSOI and multi-gate technologies, 3D NAND, and high mobility transistors.

Sponsored By:

More Webcasts