Materials and Equipment

MATERIALS AND EQUIPMENT ARTICLES



Value of semiconductor industry M&A deals slows dramatically in 2017

01/18/2018  Numerous smaller deals were made but "megadeals" were scarce last year.

Korea is at full throttle on memory investments

01/17/2018  2017 proved to be record-setting year for the semiconductor industry. According to World Semiconductor Trade Statistics (WSTS), worldwide semiconductor market will have grown 20 percent, exceeding $400 billion for the first time.

ASML announces appointment of new CFO to the Board of Management

01/17/2018  ASML Holding N.V. (ASML) today announces that the Supervisory Board intends to appoint Roger Dassen as Executive Vice President and Chief Financial Officer (CFO) to the Board of Management.

Odyssey Technical Solutions named exclusive distributor for Comet Capacitors in the Americas

01/08/2018  Odyssey Technical Solutions today announced that they have reached agreement with COMET PCT (Plasma Control Technologies) division, located in San Jose, CA. with headquarters in Switzerland, to stock and exclusively distribute the COMET vacuum capacitor line of components for North, Central and South America.

Nordson Corporation acquires Sonoscan

01/04/2018  Nordson Corporation has acquired Sonoscan, Inc., an Elk Grove Village, Illinois-based designer and manufacturer of acoustic microscopes and sophisticated acoustic micro imaging systems used in a variety of microelectronic, automotive, aerospace and industrial electronics assembly applications.

Fabless IC company sales top $100B for first time ever

01/04/2018  Two Chinese companies -- HiSilicon and Unigroup -- are among the top 10 fabless IC sales leaders.

SEMI European 3D Summit makes Dresden debut

12/22/2017  The SEMI European 3D Summit will make its Dresden, Germany, debut 22-24 January, 2018, featuring a broader scope of 3D topics driving innovation and business opportunities in the 3D market.

Revision to SEMI E142: Specification for substrate mapping

12/21/2017  The Korea Advanced Back-end Factory Integration Task Force, in response to the industry's demand, has decided to revise SEMI E142-0211 (Reapproved 1016), Specification for Substrate Mapping by adding an assembly and packaging raw materials traceability method.

aveni extends copper interconnects to 5nm and below for BEOL integration

12/12/2017  aveni S.A., developer and manufacturer of market-disrupting wet deposition technologies and chemistries for 2D interconnects and 3D through silicon via packaging, today announced it has obtained results that strongly support the continued use of copper in the back end of line (BEOL) for advanced interconnects, at and beyond the 5nm technology node.

$55.9B semiconductor equipment forecast: New record with Korea at top

12/12/2017  Today, SEMI, the global industry association representing the electronics manufacturing supply chain, released its Year-end Forecast at the annual SEMICON Japan exposition.

EVG installs low-temp plasma activation system at the University of Tokyo

12/12/2017  EVG 810LT system enables low-temperature direct wafer bonding of III-V compound semiconductor materials and germanium-on-silicon wafers with field-proven high-quality plasma surface preparation.

Leti develops world's first micro-coolers for CERN particle detectors

12/11/2017  Leti, a research institute of CEA Tech, today announced it has created the world's first microfluidic circuit for cooling a particle detector, perhaps paving the way to a revolutionary, new detector technique at the Large Hadron Collider.

Solutions for controlling resin bleed out

12/05/2017  The hows and whys of resin bleed-out (RBO) are discussed, as well as the impact it makes and how to control it.

Reveal previously invisible defects and contaminants in advanced packaging applications

12/05/2017  A new illumination technology compares favorably to conventional bright field illumination.

Semiconductor industry continues upward trend toward record year

11/30/2017  The semiconductor industry continued its upward trend in the third quarter of 2017, notching 12 percent sequential growth with strength across all application markets, according to IHS Markit.

Advantest launches automated IC handler with thermal control for use in semiconductor engineering labs

11/29/2017  Semiconductor test equipment supplier Advantest Corporation has developed the M4171 handler to meet the mobile electronics market's needs for cost-efficient thermal control testing of ICs with high power dissipation during device characterization and pre-production bring up.

DARPA's new initiative

11/08/2017  Earlier this year, DARPA's Microsystems Technology Office (MTO) announced a new Electronics Resurgence Initiative (ERI) "to open pathways for far-reaching improvements in electronics performance well beyond the limits of traditional scaling."

Global semiconductor industry posts highest-ever quarterly sales

11/01/2017  The Semiconductor Industry Association (SIA) today announced worldwide sales of semiconductors reached $107.9 billion for the third quarter of 2017, marking the industry’s highest-ever quarterly sales and an increase of 10.2 percent compared to the previous quarter.

Piezoelectrics stretch their potential with a method for flexible sticking

10/25/2017  Researchers see performance boost in novel method for connecting thin-film piezoelectric materials to flexible substrates, with potential applications for medical devices.

Nanotube fiber antennas as capable as copper

10/23/2017  Rice University researchers show their flexible fibers work well but weigh much less.




WEBCASTS



Environment, Safety & Health

Date and time TBD

The semiconductor industry is an acknowledged global leader in promoting environmental sustainability in the design, manufacture, and use of its products, as well as the health and safety of its operations and impacts on workers in semiconductor facilities (fabs). We will examine trends and concerns related to emissions, chemical use, energy consumption and worker safety and health.

Sponsored By:

Wafer Processing

Date and time TBD

As the industry moves to 10nm and 7nm nodes, advances in wafer processing – etch, deposition, planarization, implant, cleaning, annealing, epitaxy among others – will be required. Manufacturers are looking for new solutions for sustained strain engineering, FinFETs, FDSOI and multi-gate technologies, 3D NAND, and high mobility transistors.

Sponsored By:

More Webcasts