Metrology

METROLOGY ARTICLES



Micron President and CEO Sanjay Mehrotra elected chair of Semiconductor Industry Association

11/30/2018  Keith Jackson, President, CEO, and Director of ON Semiconductor, elected SIA Vice Chair.

Process Watch: Monitoring for excursions in automotive fabs

11/29/2018  The Process Watch series explores key concepts about process control -- defect inspection, metrology and data analytics -- for the semiconductor industry. This article is the fourth in a series on process control strategies for automotive semiconductor devices.

Samsung's big semi capex spending keeps pressure on competition

11/29/2018  Samsung's two-year capex spending of $46.8 billion nearly matches the combined two-year capex spending of $48.4 set by Intel and TSMC.

GLOBALFOUNDRIES announces industry's first 300mm SiGe foundry technology to meet growing data center and high-speed wireless demands

11/29/2018  Industry's most advanced high-speed SiGe technology now available on 300mm manufacturing line for terabit communications and automotive radar applications.

SEMI unveils industry's first power and compound fab outlook

11/26/2018  SEMI, the global industry association serving the global electronics manufacturing supply chain, today announced the industry's first worldwide fab data for power and compound semiconductors.

North American semiconductor equipment industry posts October 2018 billings

11/21/2018  October billings of North American equipment suppliers reflect near-term weakening of demand for PC, mobile phones and servers.

SEMI Global Trade Seminar in China focuses on shifting U.S.-Sino relations

11/21/2018  With China now a prominent player in the industry, it has become critically important for semiconductor companies to effectively engage with China.

Outlook remains bright for automotive electronic systems growth

11/19/2018  Despite some high-profile setbacks with autonomous vehicles, auto electronic systems growth projects well; remains a hotbed for semiconductor growth.

SEMI Europe keynote to highlight Europe’s competitiveness at EFECS 2018 in Lisbon

11/13/2018  Joining distinguished speakers from the European Commission, industry, academia and Member States, Laith Altimime, SEMI Europe president, will keynote on “European Competitiveness in the Context of the Global Digital Economy” on 20 November at the European Forum for Electronic Components and Systems (EFECS) in Lisbon, Portugal.

Micron joins CERN openlab, bringing new machine learning capabilities to advance science and research

11/12/2018  Micron Technology, Inc. today announced the company has joined CERN openlab, a unique public-private partnership, by signing a three-year agreement.

Nine Top-15 2018 semi suppliers forecast to post double-digit gains

11/12/2018  Samsung expected to extend its number one ranking and sales lead over Intel to 19%.

SEMI supports U.S. return to trade talks with China, issues trade negotiation principles

11/08/2018  SEMI today voiced support and encouragement for trade discussions between U.S. President Donald Trump and People's Republic of China President Xi Jinping -- talks that are planned for Dec. 1 during the G20 Summit in Argentina.

Semiconductor Research Corporation welcomes SK hynix to its acclaimed GRC and NST research programs

11/08/2018  SRC research focused on next-generation semiconductor technology continues to attract the world's leading semiconductor design and manufacturing companies.

Third quarter silicon wafer shipments increase, set new quarterly record

11/07/2018  Worldwide silicon wafer area shipments increased during the third quarter 2018, surpassing record second quarter 2018 area shipments to set another all-time high, according to the SEMI Silicon Manufacturers Group (SMG) in its quarterly analysis of the silicon wafer industry.

SkyWater appoints Tom Legere as Senior Vice President of Operations

11/06/2018  SkyWater Technology Foundry today announced that Tom Legere has been appointed as Senior Vice President of Operations.

SEMI wins award for Nano-Bio Materials Consortium to grow digital health industry

11/05/2018  SEMI announced today that it has signed a new agreement with the U.S. Air Force Research Laboratory (AFRL) to expand the Nano-Bio Materials Consortium's (NBMC) work in advancing human monitoring technology innovations for telemedicine and digital health.

GLOBALFOUNDRIES introduces Avera Semi, a wholly owned subsidiary to deliver custom ASIC solutions

11/01/2018  GLOBALFOUNDRIES today announced the establishment of Avera Semiconductor LLC, a wholly owned subsidiary dedicated to providing custom silicon solutions for a broad range of applications.

GSA announces new leadership and multiple market initiatives

10/31/2018  The Global Semiconductor Alliance (GSA) Board of Directors has appointed Dr. Lisa Su, President and Chief Executive Officer of Advanced Micro Devices, Inc. (AMD), as Chair of GSA Board of Directors and Simon Segars, Chief Executive Officer of Arm, as the Vice Chair.

Global semiconductor sales in September up 13.8% year-to-year

10/29/2018  Q3 sales are highest on record, 4.1 percent more than previous quarter, 13.8 percent higher than Q3 of last year.

SEMI Europe supports joint call to candidates for 2019 European elections

10/29/2018  SEMI Europe today confirmed its support for the joint call to future Members of the European Parliament to put industry at the core of the European Union's future.




WEBCASTS



Environment, Safety & Health

Date and time TBD

The semiconductor industry is an acknowledged global leader in promoting environmental sustainability in the design, manufacture, and use of its products, as well as the health and safety of its operations and impacts on workers in semiconductor facilities (fabs). We will examine trends and concerns related to emissions, chemical use, energy consumption and worker safety and health.

Sponsored By:

Wafer Processing

Date and time TBD

As the industry moves to 10nm and 7nm nodes, advances in wafer processing – etch, deposition, planarization, implant, cleaning, annealing, epitaxy among others – will be required. Manufacturers are looking for new solutions for sustained strain engineering, FinFETs, FDSOI and multi-gate technologies, 3D NAND, and high mobility transistors.

Sponsored By:

More Webcasts