Packaging

PACKAGING ARTICLES



Brewer Science and Arkema announce partnership to bring high-performance material to semiconductor market

10/27/2015  Brewer Science, Inc., and Arkema announced a partnership to produce high-quality directed self-assembly (DSA) materials for use in semiconductor manufacturing.

Manipulating wrinkles could lead to graphene semiconductors

10/26/2015  RIKEN scientists have discovered that wrinkles in graphene can restrict the motion of electrons to one dimension, forming a junction-like structure that changes from zero-gap conductor to semiconductor back to zero-gap conductor.

SEMI announces continued annual growth for silicon shipment volumes

10/22/2015  Total wafer shipments this year are expected to exceed the market high set in 2014 and are forecast to continue shipping at record levels in 2016 and 2017.

Successful industrialization of high-density 3D integrated silicon capacitors for ultra-miniaturized electronic components

10/22/2015  Two years after the launch of the PICS project , three European SMEs, IPDiA, Picosun, and SENTECH Instruments along with CEA-Leti and Fraunhofer IPMS-CNT announce the major technological results achieved.

New NSF-SRC report on energy efficient computing

10/22/2015  A report that resulted from a workshop funded by Semiconductor Research Corporation and National Science Foundation outlines key factors limiting progress in computing and novel device and architecture research that can overcome these barriers.

MOSFETs automatically balance supercapacitors in industrial applications

10/21/2015  Advanced Linear Devices, Inc. (ALD) announced a family of Supercapacitor Auto Balancing (SAB) Metal Oxide Semiconductor Field Effect Transistors (MOSFET) designed for industrial applications.

Lam Research to acquire KLA-Tencor

10/21/2015  Lam Research Corporation (LRCX) and KLA-Tencor Corporation (KLAC) announced that they have entered into a definitive agreement for Lam Research to acquire all outstanding KLA-Tencor shares in a cash and stock transaction.

Western Digital announces acquisition of SanDisk

10/21/2015  Western Digital Corporation and SanDisk Corporation today announced that they have entered into a definitive agreement where Western Digital will purchase SanDisk.

Slideshow: 2015 IEDM Preview

10/20/2015  An advance look at some of the most newsworthy topics and papers that will be presented at this year's IEEE International Electron Devices Meeting.

Historic era of consolidation for chip makers

10/19/2015  We are in a historic era for consolidation among semiconductor manufacturers, and the reasons are surprising.

Evonik and SCREEN FT enter strategic partnership to promote iXsenic technology

10/19/2015  German chemical company Evonik Industries AG and Japanese FPD production equipment manufacturer SCREEN Finetech Solutions Co., Ltd. have signed a contract for a strategic partnership.

Applied Materials and A*STAR announce new R&D joint lab in Singapore for advanced semiconductor technology

10/19/2015  Applied Materials, Inc. announced it plans to establish a new R&D laboratory in Singapore in collaboration with the Agency for Science, Technology and Research (A*STAR).

Chemical mechanical planarization market worth $4.94 Billion by 2020

10/12/2015  According to a new market research report published by MarketsandMarkets, the market is expected to grow at a CAGR of 6.83% between 2015 and 2020, and reach $4.94 Billion by 2020.

Vanadium dioxide leads to a better transistor

10/12/2015  Penn State materials scientists have discovered a way to give the transistor a boost by incorporating vanadium oxide.

Leti joins GLOBALFOUNDRIES' ecosystem partners supporting 22FDX platform

10/07/2015  CEA-Leti announced that it has joined the GLOBALSOLUTIONS ecosystem as an ASIC provider, specifically to support GLOBALFOUNDRIES' 22FDX (TM) technology platform.

DCG Systems introduces Meridian M for static optical failure analysis

10/06/2015  DCG Systems announced the release of the Meridian M (TM) system for isolation of routine and challenging electrical faults at the wafer level.

Entegris introduces SmartStack 300 mm contactless horizontal wafer shipper

10/06/2015  Entegris, Inc. has expanded its wafer shipper family of products with the SmartStack (R) 300 mm Contactless Horizontal Wafer Shipper.

Dielectric precursors market outlook Is $230M in 2015

10/06/2015  The 2015 market for dielectric precursors is expected to total $230M, of which over $45M is attributed to low-k dielectrics.

Altatech adds new high-speed inspection system dedicated to ultra-thin substrates for 3D applications

10/05/2015  Altatech announced the expansion of its Eclipse series with a new, high-speed inspection system dedicated to ultra-thin, transparent and bonded substrates inspection for 3D applications in power, MEMS, and mobile technologies.

SDK to Offer SiC Epitaxial Wafers with very low defect density

10/05/2015  Showa Denko has developed a new grade of silicon carbide (SiC) epitaxial wafers for power devices with very low defect density.




WEBCASTS



Environment, Safety & Health

Date and time TBD

The semiconductor industry is an acknowledged global leader in promoting environmental sustainability in the design, manufacture, and use of its products, as well as the health and safety of its operations and impacts on workers in semiconductor facilities (fabs). We will examine trends and concerns related to emissions, chemical use, energy consumption and worker safety and health.

Sponsored By:

Wafer Processing

Date and time TBD

As the industry moves to 10nm and 7nm nodes, advances in wafer processing – etch, deposition, planarization, implant, cleaning, annealing, epitaxy among others – will be required. Manufacturers are looking for new solutions for sustained strain engineering, FinFETs, FDSOI and multi-gate technologies, 3D NAND, and high mobility transistors.

Sponsored By:

More Webcasts