Power Electronics

POWER ELECTRONICS ARTICLES



SEMI announces new South America Semiconductor Strategy Summit

07/22/2014  SEMI today announced the launch of the association's first-ever event in Latin America.

Allegro MicroSystems announces new silicon carbide Schottky barrier diode FMCA series

07/22/2014  Allegro MicroSystems, LLC announces the release of the next generation series of silicon carbide Schottky barrier diodes.

Power supply market to grow by $3.5B in four years

07/16/2014  The global market outlook for AC-DC and DC-DC power supplies is set for healthy expansion starting this year until at least 2018, with revenue during these four years projected to grow by $3.5 billion.

IBM announces $3B research initiative

07/15/2014  IBM announced it is investing $3 billion over the next 5 years in two broad research and early stage development programs to push the limits of chip technology needed to meet the emerging demands of cloud computing and Big Data systems.

KLA-Tencor Evolves Inspection and Review Portfolio for 3D Future

07/10/2014  Systems detect and categorize defects that limit yield in advanced IC manufacturing.

How to Drive and Motivate Modern-Day Innovation

07/10/2014  Technology innovation isn’t slowing down. But its steady acceleration isn’t happening spontaneously, and Tuesday’s Silicon Innovation Forum keynote from Professor of Innovation Dr. Bob Metcalfe outline how he believes to effectively drive the complex cycle that is modern-day innovation.

Vacuum Technologies Needed for 3D Device Processing

07/10/2014  Complex effluent management of ALD and CVD processes using novel precursors

Development of Silicon Photonics Devices Discussed in Forum

07/09/2014  Six speakers discussed developments in designing and manufacturing silicon photonics devices in a TechXPOT North session on Wednesday morning.

The Connected Experience: A Manufacturer’s Dream?

07/09/2014  Imagine being able to not only track and address equipment degradation in real time, but also analyze patterns in your factories’ equipment and address potential issues before they even present a problem. It may sound too good to be true, but Microsoft’s Sanjay Ravi explained in Wednesday morning’s keynote that this innovation is becoming available now to manufacturers.

Trends in Next-Generation MEMS Discussed in TechXPOT Forum

07/09/2014  The microelectromechanical system (MEMS) device market is forecast to increase at a compound annual growth rate of 13 percent over the next five years, reaching $24 billion in 2019, according to Jean-Christophe Eloy, president and CEO of Yole Développement.

The End of Scaling?

07/09/2014  Are we reaching the end of scaling? Yes and no. Let me explain.

New Materials Provide Innovation Yet Add Complexity

07/09/2014  If semiconductor materials had a personal Facebook page, its status would be: It’s Complicated.

Standards Industry Leaders Honored at SEMICON West 2014

07/09/2014  SEMI honored eight industry leaders for their outstanding accomplishments in developing standards for the microelectronics and related industries. The annual SEMI Standards awards were announced at the SEMI Standards reception held during SEMICON West 2014.

SEMI forecasts double-digit business growth in 2014, 2015

07/09/2014  The worldwide semiconductor capital equipment market is forecast to increase 20.8 percent this year to $38.44 billion, compared with 2013’s $31.82 billion, and another 10.8 percent in 2015 to $42.6 billion, according to Semiconductor Equipment and Materials International.

The Future Looks Incredible, But Not If We Stay The Same

07/09/2014  Micron President Mark Adams’ keynote on Tuesday morning at SEMICON West 2014 was both optimistic and challenging, perhaps even unsettling for companies unused to evolving with the times.

FinFETs and FDSOI Provide Options

07/08/2014  Everybody loves FinFETs! Well, not everybody, really, is behind double-gate or multiple-gate field-effect transistors.

EUV: Coming but Not Here Yet

07/08/2014  Extreme-ultraviolet lithography is making progress! Well, check that. EUV technology is progressing, yet it remains uncertain when its insertion into volume production of semiconductors will occur.

3DICs Have Finally Arrived

07/08/2014  Intel, Samsung Electronics, and Taiwan Semiconductor Manufacturing have made their moves into three-dimensional semiconductors. Now it remains to be seen how the rest of the semiconductor industry will make the transition to 3DICs.

First 450mm Wafers Patterned with Immersion Lithography Displayed

07/08/2014  A collection of the first fully patterned 450mm wafers are on display at SEMICON West this week at the newly merged SUNY CNSE/SUNYIT exhibit, booth 517, located in the Moscone Center’s South Hall. The wafers will be on display throughout the exhibition and showcased in the 450 mm Technology Development Session on Thursday July 10th.

SEMI and Solid State Technology announce the 2014 “Best of West” Award finalists

07/08/2014  Each year at SEMICON West, the largest and most influential microelectronics exposition in North America, the “Best of West” awards are presented by Solid State Technology and SEMI. The award was established to recognize contributors moving the industry forward with their technological developments in the microelectronics supply chain.




WEBCASTS



Environment, Safety & Health

Date and time TBD

The semiconductor industry is an acknowledged global leader in promoting environmental sustainability in the design, manufacture, and use of its products, as well as the health and safety of its operations and impacts on workers in semiconductor facilities (fabs). We will examine trends and concerns related to emissions, chemical use, energy consumption and worker safety and health.

Sponsored By:

Wafer Processing

Date and time TBD

As the industry moves to 10nm and 7nm nodes, advances in wafer processing – etch, deposition, planarization, implant, cleaning, annealing, epitaxy among others – will be required. Manufacturers are looking for new solutions for sustained strain engineering, FinFETs, FDSOI and multi-gate technologies, 3D NAND, and high mobility transistors.

Sponsored By:

More Webcasts