Semicon West

SEMICON WEST ARTICLES



New laser-based sample prep solution

07/12/2018  3D-Micromac AG (booth #1645 in the South Hall) this week introduced the microPREP 2.0 laser ablation system for high-volume sample preparation of metals, semiconductors, ceramics and compound materials for microstructure diagnostics and failure analysis (FA).

KLA-Tencor announces new defect inspection systems

07/12/2018  KLA-Tencor Corporation announced two new defect inspection products at SEMICON West this week, addressing two key challenges in tool and process monitoring during silicon wafer and chip manufacturing at the leading-edge logic and memory nodes.

Linde expands for sub 10nm geometries

07/12/2018  Gases and engineering company The Linde Group (Booth #5644 in the North hall) is investing in expansion of existing products to improve business continuity planning while adding new products with improved purity to meet the growing needs of sub-10nm semiconductor factories and advanced flat panel manufacturers

imec shows integrated 5G chip directions

07/12/2018  To fulfill the promise of the Internet of Things (IoT), the world needs low-cost high-bandwidth radio-frequency (RF) chips for 5th-generation (5G) internet technology.

New regulations coming for nitrous oxide

07/12/2018  Nitrous oxide (N2O) has a variety of uses in the semiconductor manufacturing industry. It is the oxygen source for chemical vapor deposition of silicon oxy-nitride (doped or undoped) or silicon dioxide, where it is used in conjunction with deposition gases such as silane.

Day 3 of SEMICON West: Don't Miss

07/12/2018  Don't miss these big events today at SEMICON West!

AI and quantum computing: The third and fourth exponentials

07/12/2018  This is an era of computing which is at a scale that will dwarf the previous era, in ways that will change all of our businesses and all of our industries, and all of our lives.

Discovering the potential serial innovators in our midst

07/12/2018  Steve Jobs. Benjamin Franklin. Albert Einstein. Marie Curie. What do these world-changers all have in common? Where did their drive to innovate come from? Melissa Schilling, PhD, had to find out.

Subfab data growing in importance

07/11/2018  The importance of data gathered and analysed in the subfab – the place where vacuum pumps, abatements systems and other supporting equipment operates – is growing. Increasingly, manufacturers are finding that these systems have a direct impact on yield, safety, cost-of-ownership and ultimately capacity and cycle time.

Next on-chip: Human organs

07/11/2018  Many new innovations were discussed at imec’s U.S. International Technology Forum (ITF) on Monday at the Grand Hyatt in San Francisco, including quantum computing, artificial intelligence, sub-3nm logic, memory computing, solid-state batteries, EUV, RF and photonics, but perhaps the most interesting was new technology that enables human cells, tissues and organs to be grown and analyzed on-chip.

Intel wins SEMI Award at SEMICON West for process and integration

07/11/2018  Intel has won SEMI's 2018 Award for the Americas. SEMI honored the celebrated chipmaker for pioneering process and integration breakthroughs that enabled the first high-volume Integrated Silicon Photonics Transceiver. The award was presented yesterday at SEMICON West 2018.

Standards industry leaders honored at SEMICON West 2018

07/11/2018  SEMI yesterday honored two industry leaders at SEMICON West 2018 for their outstanding accomplishments in developing Standards for the electronics and related industries.

Day 2 of SEMICON West: Don't Miss

07/11/2018  Don't miss these big events today at SEMICON West!

Data economy era begins

07/10/2018  Speaking at imec ITF Forum on Tuesday, Scott DeBoer, Executive Vice President of Technology Development at Micron opened his keynote address with a video that featured astounding statistics: Micron memory and storage is a part of storing the data generated by practically every type of smart device and high speeding computer processing – nearly 2.5 quintillion bytes per day.

Getting to 3nm: It really is scaling every which way!

07/10/2018  This year’s Scaling Technologies TechXPOT at SEMICON West (Scaling Every Which Way! – Thursday, July 12, 2:00PM-4:00PM) will provide an update on the evolution of scaling and describe how the various players (foundry, IDM, fabless, and application developers) are jockeying for innovation leadership.

High gas flow rates create pumping challenge

07/10/2018  Increasingly complicated 3D structures such finFETs and 3D NAND require very high aspect ratio etches. This, in turn, calls for higher gas flow rates to improve selectivity and profile control. Higher gas flow rates also mean higher etch rates, which help throughput, and  higher rates of removal for etch byproducts.

Proving the benefits of data analysis

07/10/2018  The semiconductor industry is collecting massive amounts of data from fab equipment and other sources. But is the trend toward using that data in a Smart Manufacturing or Industry 4.0 approach happening fast enough in what Mike Plisinski, CEO of Rudolph Technologies, calls a "very conservative" chip manufacturing sector?

SEMI announces re-election of Board Members

07/10/2018  SEMI today announced the re-election of 10 current members to the SEMI International Board of Directors in accordance with the association's by-laws.

The devilish details of EUV lithography

07/10/2018  Industry R&D consortium imec runs a series of technology forums around the world, starting in June in Antwerp, Belgium, and including a stop in July in San Francisco in coordination with SEMICON West.

Day 1 of SEMICON West: Don't Miss

07/10/2018  Don't miss these big events today at SEMICON West!




WEBCASTS



Environment, Safety & Health

Date and time TBD

The semiconductor industry is an acknowledged global leader in promoting environmental sustainability in the design, manufacture, and use of its products, as well as the health and safety of its operations and impacts on workers in semiconductor facilities (fabs). We will examine trends and concerns related to emissions, chemical use, energy consumption and worker safety and health.

Sponsored By:

Wafer Processing

Date and time TBD

As the industry moves to 10nm and 7nm nodes, advances in wafer processing – etch, deposition, planarization, implant, cleaning, annealing, epitaxy among others – will be required. Manufacturers are looking for new solutions for sustained strain engineering, FinFETs, FDSOI and multi-gate technologies, 3D NAND, and high mobility transistors.

Sponsored By:

More Webcasts