Semicon West

SEMICON WEST ARTICLES



Edwards promotes innovation and STEM education at SEMICON West 2018

07/09/2018  Molecule Blaster virtual reality game allows SEMICON West attendees to learn about and experience the abatement of PFC gases that result from the semiconductor manufacturing process.

SEMICON West 2018 highlights smart technologies, workforce development, industry growth

07/09/2018  Smart technologies take center stage tomorrow as SEMICON West, the flagship U.S. event for connecting the electronics manufacturing supply chain, opens for three days of insights into leading technologies and applications that will power future industry expansion.

$62.7B semiconductor equipment forecast: Top previous record, Korea at top but China closes the gap

07/09/2018  Releasing its Mid-Year Forecast at the annual SEMICON West exposition, SEMI, the global industry association representing the electronics manufacturing supply chain, today reported that worldwide sales of new semiconductor manufacturing equipment are projected to increase 10.8 percent to $62.7 billion in 2018, exceeding the historic high of $56.6 billion set last year.

SEMICON West Test Vision 2020: Chip testing gets smart

07/06/2018  Chip testing is becoming smarter and more complex, creating growing requirements to stream data in real time and ensure it is ready to use for analysis, regardless of the vendor source.  

Strategy for U.S. semiconductor leadership to be previewed at SEMICON West

07/06/2018  White House-led panel to address U.S. goal to lead in development of next-generation microelectronics.

Process complexity means exponentially increasing data volumes and analysis challenges, with co-optimization across process steps

07/03/2018  The fast-maturing infrastructure that is enabling applications for big data and artificial intelligence means disruptive change not just at individual companies but also in data connections among companies across the microelectronics manufacturing value chain.

Automotive and SiP drive demand for traceability back through the value chain

07/02/2018  SEMI expands its smart manufacturing program with a Smart Manufacturing Pavilion with displays and three full days of talks to address these industry-wide developments at SEMICON West, July 10-12 in San Francisco.

New infrastructure and sensors extract actionable information from mature IoT

07/02/2018  For medtech applications to flourish, sensors need a supporting infrastructure that translates the data they harvest into actionable insights, says Qualcomm Life director of business development Gene Dantsker, who will speak about the future of digital healthcare in the Medtech program at SEMICON West.

SEMICON West: Exponential growth in data volumes drives change in system architecture

06/28/2018  With artificial intelligence (AI) rapidly evolving, look for applications like voice recognition and image recognition to get more efficient, more affordable, and far more common in a variety of products over the next few years.

SEMI Americas and Solid State Technology announce 2018 "Best of West" award finalists

06/27/2018  Selected from over 600 exhibitors, SEMI announced today that the following Best of West 2018 Finalists will be displaying their products on the show floor at Moscone Center from July 10-12.

SEMI Americas and Applied Materials host media and analyst lunch briefing at SEMICON West 

06/12/2018  Sparking conversation is a goal of SEMICON West, and SEMI Americas and Applied Materials invite working journalists across the electronics spectrum to a special AI Design Forum luncheon on Tuesday, July 10, from noon to 1:30 p.m., at The Forum at the Yerba Buena Center for the Arts, 701 Mission Street, in San Francisco. The event is presented in conjunction with SEMICON West at the Moscone Center.

Leading the world beyond Moore's Law to the AI era, six cognitive experts to keynote at SEMICON West

06/07/2018  With the rapid rise of AI providing overwhelming possibilities for industry growth, SEMICON West has been designed to help the microelectronics industry get a firm handle on how best to enable and take advantage of AI's potential.

EUV lithography: Extending the patterning roadmap to 3nm

05/25/2018  This year’s Advanced Lithography TechXPOT at SEMICON West will explore the progress on extreme ultra-violet lithography (EUVL) and its economic viability for high-volume manufacturing (HVM), as well as other lithography solutions that can address the march to 5nm and onward to 3nm.

SEMICON West adds WT | Wearable Technologies Conference co-location

05/22/2018  U.S. electronics manufacturing event expands with wearables program.

IC makers maximize 300mm, 200mm wafer capacity

10/13/2017  A total of 25 new 300mm wafer fabs is expected between 2016 and 2021 as the outlook for 450mm wafers fades.

ASM International launches Intrepid epitaxy tool

07/13/2017  ASM International introduced the Intrepid ES 300mm epitaxy (epi) tool for advanced-node CMOS logic and memory high-volume production applications.

ALD tools evolve with industry needs

07/13/2017  Veeco Instruments (Veeco) recently announced that Veeco CNT -- formerly known as Ultratech/Cambridge Nanotech -- shipped its 500th Atomic Layer Deposition (ALD) system to the North Carolina State University.

Industry acting to reduce greenhouse gases

07/13/2017  Semiconductor manufacturers use a variety of high global warming potential (GWP) gases to process wafers and to rapidly clean chemical vapor deposition (CVD) tool chambers.

Big data in autonomous driving

07/13/2017  On Wednesday, Intel Corporation’s Katherine Winter, Vice President of the Automated Driving Group, delivered a keynote that many would think is off-topic from the usual at SemiCon West: ”Big Data in Autonomous Driving.”

Day 3 of SEMICON West: Don't Miss

07/13/2017  Don't miss these events today at SEMICON West!




WEBCASTS



Environment, Safety & Health

Date and time TBD

The semiconductor industry is an acknowledged global leader in promoting environmental sustainability in the design, manufacture, and use of its products, as well as the health and safety of its operations and impacts on workers in semiconductor facilities (fabs). We will examine trends and concerns related to emissions, chemical use, energy consumption and worker safety and health.

Sponsored By:

Wafer Processing

Date and time TBD

As the industry moves to 10nm and 7nm nodes, advances in wafer processing – etch, deposition, planarization, implant, cleaning, annealing, epitaxy among others – will be required. Manufacturers are looking for new solutions for sustained strain engineering, FinFETs, FDSOI and multi-gate technologies, 3D NAND, and high mobility transistors.

Sponsored By:

More Webcasts