Semicon West

SEMICON WEST ARTICLES



EUV patterning materials evolving

07/13/2017  Global industry R&D hub IMEC defines the “IMEC 7nm-Node” (I7N) for finFETs to have 56 nm Contacted Gate Pitch (CGP) with 40 nm Metal Pitch (MP), and such critical mask layers can be patterned with a single exposure of 0.33 N.A. EUVL as provided by the ASML NXE:3400B tool.

SEMI Awards honor process and technology integration achievements

07/13/2017  SEMI announced the recipients of the 2017 SEMI Awards for the Americas.

Standards industry leaders honored at SEMICON West 2017

07/12/2017  SEMI honored four industry leaders for their outstanding accomplishments in developing Standards for the electronics and related industries. The SEMI Standards awards were announced at the SEMI International Standards reception held during SEMICON West 2017.

Solid State Technology and SEMI announce the 2017 Best of West Award winner

07/12/2017  Solid State Technology and SEMI today announced the recipient of the 2017 "Best of West" Award -- Microtronic Inc.-- for its EAGLEview 5.

Bridging the macro and micro world of defects

07/12/2017  When it comes to defects and contamination in the semiconductor manufacturing industry, most people tend to think of small, sub-nm defects at the transistor level. As important as those are, there are plenty of things that can go wrong and be seen at the macro level.

New materials, new challenges

07/12/2017  In order to increase device performance, the semiconductor industry has slowly been implementing many new materials.

$49.4B semiconductor equipment forecast: New record, Korea at top

07/12/2017  Worldwide sales of new semiconductor manufacturing equipment are projected to increase 19.8 percent to total $49.4 billion in 2017, marking the first time that the semiconductor equipment market has exceeded the market high of $47.7 billion set in 2000.

James C. Morgan unveils Applied Wisdom

07/12/2017  James C. Morgan will be a special guest presenter during the SEMICON West keynote session this morning at the Yerba Buena Center.

AI and collaboration key to future success

07/12/2017  Keynote speakers Terry Higashi of Tokyo Electron Ltd. and Tom Caulfield of GlobalFoundries took the stage at the Yerba Buena Theater Tuesday morning to predict major changes in the goals and operations of the semiconductor industry.

Day 2 of SEMICON West: Don't Miss

07/12/2017  Don't miss these big events today at SEMICON West!

SEMI's Board election results and leadership appointments announced

07/11/2017  SEMI today announced that Mike Allison, president of the Semiconductor Division at Edwards, and Daisuke Murata, president and CEO of Murata Machinery, were elected as new directors to the SEMI International Board of Directors in accordance with the association's by-laws.

200mm fabs thriving

07/11/2017  Today, at SEMICON West in San Francisco, Calif., SEMI issued an update to its 200mm Fab Outlook report, with improved and expanded report forecasting of 200mm fab trends out to 2021.

Exploring smart sensor explosive growth

07/11/2017  MEMS & Sensors Industry Group (MSIG), the industry association advancing MEMS and sensors across global markets, is hosting a TechXPOT program today, titled “What’s Next for MEMS & Sensors: Big Growth of Disruptive Applications for Smart Sensing Changes the Business.”

Linde Group announces rare gas capacity expansions

07/11/2017  The Linde Group is expanding production of the rare gases used by the semiconductor industry, including xenon, which is in increasing demand for etching 3D semiconductor structures.

Edwards sees promise in sub-fab data analysis

07/11/2017  Integrating data from various sensors in semiconductor fabs is a key focus in the industry now, and the sub-fab is an increasingly important part of the equation.

Moscone Center construction during SEMICON West

07/11/2017  The Moscone Center will be undergoing major construction during Semicon West week.

China growth surge highlighted at SEMICON West

07/11/2017  SEMI added a new high-profile program on China to its 2017 conference lineup for SEMICON West.

New programs reign at SEMICON West 2017

07/11/2017  SEMI has enriched this year’s SEMICON West by offering new, timely programs and forums for attendees to engage with peers and presenters at the three-day industry event.

How low can we go?

07/11/2017  In the advanced CMOS technology programs ongoing in the Belgium city of Leuven, imec works to extend the building-blocks of integrated circuits (IC).

Day 1 of SEMICON West 2017: Don't Miss

07/11/2017  Don’t miss the big events today at SEMICON West!




WEBCASTS



Environment, Safety & Health

Date and time TBD

The semiconductor industry is an acknowledged global leader in promoting environmental sustainability in the design, manufacture, and use of its products, as well as the health and safety of its operations and impacts on workers in semiconductor facilities (fabs). We will examine trends and concerns related to emissions, chemical use, energy consumption and worker safety and health.

Sponsored By:

Wafer Processing

Date and time TBD

As the industry moves to 10nm and 7nm nodes, advances in wafer processing – etch, deposition, planarization, implant, cleaning, annealing, epitaxy among others – will be required. Manufacturers are looking for new solutions for sustained strain engineering, FinFETs, FDSOI and multi-gate technologies, 3D NAND, and high mobility transistors.

Sponsored By:

More Webcasts