SEMICON

SEMICON ARTICLES



Moscone Center construction during SEMICON West

07/11/2017  The Moscone Center will be undergoing major construction during Semicon West week.

China growth surge highlighted at SEMICON West

07/11/2017  SEMI added a new high-profile program on China to its 2017 conference lineup for SEMICON West.

New programs reign at SEMICON West 2017

07/11/2017  SEMI has enriched this year’s SEMICON West by offering new, timely programs and forums for attendees to engage with peers and presenters at the three-day industry event.

How low can we go?

07/11/2017  In the advanced CMOS technology programs ongoing in the Belgium city of Leuven, imec works to extend the building-blocks of integrated circuits (IC).

Day 1 of SEMICON West 2017: Don't Miss

07/11/2017  Don’t miss the big events today at SEMICON West!

Semiconductor advances could enable endless complexity increase

07/11/2017  Speaking at imec’s International Technology Forum USA yesterday afternoon at the Marriott Marquis, Luc Van den Hove, president and CEO of imec, provided a glimpse of society’s future and explained how semiconductor technology will play a key role.

Brewer Science partners with Arkema to develop high-chi DSA materials for advanced node patterning

07/10/2017  Brewer Science Inc. today announced from SEMICON West the extension of its partnership with Arkema to develop second-generation directed self-assembly (DSA) materials using high-x (chi) block copolymers.

Imec demonstrates electrically functional 5nm solution for back-end-of-line

07/10/2017  At its annual Imec Technology Forum USA in San Francisco, imec today presented an electrically functional solution for the 5nm back-end-of-line (BEOL).

SEMICON West preview: New “Meet the Experts” program

07/07/2017  SEMI adds a new speaker program called “Meet the Experts” at SEMICON West (July 11-13) in San Francisco this year.

SUNY Poly-led AIM Photonics and NY-Power Electronics Manufacturing Consortium to highlight research, collaboration at SEMICON West 2017

07/06/2017  Prominent New York State Tech Pavilion and Nanotech Summit at SEMICON West Exhibition to showcase innovation-based business growth opportunities

Supply chain needs paradigm shift in how to look at defect control

06/07/2017  The future of contamination control in the next-generation supply chain for beyond 14nm-node semiconductor processes faces stringent challenges.

AMICRA to provide precision die attach system to Fabrinet in Silicon Valley

07/01/2016  AMICRA Microtechnologies, a German-based vendor of advanced back-end assembly processing equipment for advanced packaging applications, has received an order for the AFC Plus System from Fabrinet West.

Breakthrough opportunities at SEMICON West for an industry in transition

06/28/2016  With disruptive changes occurring in the electronics supply chain, 26,000 professionals will converge on SEMICON West 2016 (July 12-14) at Moscone Center in San Francisco to hear insider perspectives on what the future holds for the industry.

Digital redefines supply chain: Big change and big opportunity

06/28/2016  With many disruptive changes occurring in the electronics supply chain, the one with the biggest impact may come from smart manufacturing and the emergence of the digital supply chain.

Building U.S. manufacturing ecosystems for emerging advanced packaging technologies

06/22/2016  Emerging opportunities for advanced packaging solutions for heterogeneous integration include a lot more than logic, memory and sensors.

SEMI and Solid State Technology announce the 2016 "Best of West" award finalists

06/21/2016  Each year at SEMICON West, the "Best of West" awards are presented by Solid State Technology and SEMI.

Advanced Packaging Forum provides answers at SEMICON West 2016

06/14/2016  Today, SEMI announced that the latest packaging solutions will be the topic of an in-depth session at the SEMICON West 2016 Advanced Packaging Forum - and on display on the exhibition floor.

Changing markets drive smarter manufacturing by IC sector

06/13/2016  The changing market for ICs means the end of business as usual for the greater semiconductor supply chain. Smarter use of data analytics looks like a key strategy to get new products more quickly into high yield production at improved margins.

Countdown to Node 5: Moving beyond FinFETs

06/10/2016  A forum of industry experts at SEMICON West 2016 will discuss the challenges associated with getting from node 10 -- which seems set for HVM -- to nodes 7 and 5.

SEMICON West 2016 expands technical programming by nearly 50%

05/26/2016  As the opening day of SEMICON West (July 12-14) approaches, the electronics manufacturing industry is experiencing disruptive changes, making “business as usual” a thing of the past. To help technical and business professionals navigate this fast-changing landscape, SEMICON West programming has been upgraded extensively.




WEBCASTS



Environment, Safety & Health

Date and time TBD

The semiconductor industry is an acknowledged global leader in promoting environmental sustainability in the design, manufacture, and use of its products, as well as the health and safety of its operations and impacts on workers in semiconductor facilities (fabs). We will examine trends and concerns related to emissions, chemical use, energy consumption and worker safety and health.

Sponsored By:

Wafer Processing

Date and time TBD

As the industry moves to 10nm and 7nm nodes, advances in wafer processing – etch, deposition, planarization, implant, cleaning, annealing, epitaxy among others – will be required. Manufacturers are looking for new solutions for sustained strain engineering, FinFETs, FDSOI and multi-gate technologies, 3D NAND, and high mobility transistors.

Sponsored By:

More Webcasts