Semiconductors

SEMICONDUCTORS ARTICLES



Worldwide semiconductor equipment bookings decline in Q3

12/13/2011 

SEMI reports worldwide semiconductor manufacturing equipment bookings fell 38% year-over-year in Q3 2011; billings dropped 5% for the same quarter.

GSA awards semiconductor companies

12/13/2011 

The Global Semiconductor Alliance named its 2011 awards recipients at a celebration last week in CA. Outstanding semiconductor companies worldwide were recognized for "success, vision and strategy in the industry." Winners include Broadcom, Intel, and lesser-known companies like Amalfi Semiconductor.

Self-powered electronics: Achievements and challenges @ IEDM

12/12/2011 

Dennis Buss, a visiting scientist at the Massachusetts Institute of Technology (MIT) and consultant at Texas Instruments (TI), presented "Research in self-powered electronic systems (#10.4)" at IEEE's International Electron Devices Meeting (IEDM) recently. He shares key results here.

SEMICON West 2012: Submit an abstract today

12/12/2011 

SEMI is looking for presenters for technical sessions and other opportunities at SEMICON West 2012, July 10-12 in San Francisco, CA.

Printed electronics innovators awarded by IDTechEX

12/09/2011 

Printed electronics materials and equipment suppliers, as well as academics and industry, were honored with annual awards at the IDTechEx Printed Electronics USA 2011 in Santa Clara, CA.

SMIC, Elpida settle 200mm wafer claims

12/09/2011 

SMIC entered into a settlement agreement with Elpida Memory Inc. to settle all pending arbitration claims and counterclaims relating to the parties' Amended and Restated 200mm Wafer Products Business Agreement.

ASM brings Fraunhofer into ALD and CVD process dev

12/09/2011 

Fraunhofer CNT and semiconductor fab equipment supplier ASM International NV will collaborate under a Joint Development Agreement on various new projects over the next 5 years, including CVD and ALD process development.

Nikon ArF lithography tool boasts 2x throughput

12/08/2011 

Nikon Corporation released its NSR-S320F argon fluoride (ArF) lithography scanner for advanced 20nm node semiconductor device manufacturing. It boast high productivity and high accuracy, with stable operation in the field, Nikon reports.

SuVolta's DDC transistor technology @ IEDM

12/08/2011 

SuVolta Inc., developer of scalable low-power CMOS technologies, revealed its Deeply Depleted Channel (DDC) low-power transistor technology at IEEE's IEDM 2011 this week in Washington DC.

Frontend process, materials firms get high marks from TSMC

12/07/2011 

A number of big-name frontend equipment firms highlight TSMC's annual supplier awards in 2011, as the foundry expands its list of top suppliers.

IEDM interview: SEMATECH’s SILC ~10% and HKMG lifetime; ALD BeO a viable gate stack IPL solution

12/07/2011  SEMATECH’s director of front end processes, Paul Kirsch, discusses two of the consortium’s papers presented at IEEE's International Electron Devices Meeting (IEDM) with Solid State Technology in a podcast interview

imec's IEDM papers reach "record number"

12/07/2011 

imec is presenting a record number of 17 papers at the IEEE International Electron Device Meeting (IEDM), ending today in Washington, DC.

KLA-Tencor enlarges monitor-wafer suite

12/07/2011 

KLA-Tencor Corporation (NASDAQ: KLAC) added to its SensArray portfolio of advanced wireless temperature monitoring wafers. The products use time-based, in-situ temperature monitoring to register process-environment effects on production wafers.

Cornell, SRC develop RF MEMS technologies

12/07/2011 

Semiconductor Research Corporation (SRC), university-research consortium for semiconductors and related technologies, is working with Cornell University researchers to develop on-chip silicon technologies for mobile devices. The aim is a micro-mechanical resonator for RF MEMS.

WSTS forecast update: Two more tough years

12/06/2011 

The WSTS' newest version of its twice-a-year semiconductor industry outlook shows some softer segments than just six months ago. Hope you enjoyed 2010's 30% blowout, because the next three years will flatline at low- to mid-single-digit growth.

Semiconductor fab equipment spending forecast, 2011 wrap-up

12/06/2011 

SEMI projects that worldwide sales of new semiconductor manufacturing equipment will reach $41.8  billion in 2011, decline in 2012, and rebound again in 2013. Front-end equipment experienced an increase in sales this year, while back-end tools saw a decrease.

TEL power chip dynamicing occurs at the wafer level

12/06/2011 

Tokyo Electron Limited (TEL) has successfully demonstrated dynamicing -- device switching/dynamic characteristic (AC) -- of a power device at the wafer level.

ASM demonstrates ALD, hafnium high-k metal gate at 14nm

12/06/2011 

ASM International N.V. created a new 14nm high-k gate dielectric process that achieved less than 6angstroms equivalent oxide thickness, while maintaining gate leakage below 1A/cm2.

EVG installs UV-lithography system at Asahi Kasei

12/06/2011 

Asahi Kasei E-Materials Corporation purchased an IQ Aligner UV nanoimprint lithography (UV-NIL) system from EV Group (EVG).




WEBCASTS



Environment, Safety & Health

Date and time TBD

The semiconductor industry is an acknowledged global leader in promoting environmental sustainability in the design, manufacture, and use of its products, as well as the health and safety of its operations and impacts on workers in semiconductor facilities (fabs). We will examine trends and concerns related to emissions, chemical use, energy consumption and worker safety and health.

Sponsored By:

Wafer Processing

Date and time TBD

As the industry moves to 10nm and 7nm nodes, advances in wafer processing – etch, deposition, planarization, implant, cleaning, annealing, epitaxy among others – will be required. Manufacturers are looking for new solutions for sustained strain engineering, FinFETs, FDSOI and multi-gate technologies, 3D NAND, and high mobility transistors.

Sponsored By:

More Webcasts