Semiconductors

SEMICONDUCTORS ARTICLES



Ushio releases lithography tools for MEMS, power device, and LSI fab

12/05/2011 

USHIO Inc. is introducing the UX4-MEMS FFPL 200 with the overlay accuracy of 0.5µm for manufacturing MEMS devices, mounted with the newly developed lens module having a maximum depth of focus of 500µm and the auto mask changer module for manufacturing multiple device types.

Oct. chip sales flat, annual growth pace now 1%

12/05/2011 

Wall Street watchers still see a mixed bag after tallying October chip sales, with some lingering concerns about inventories, but overall everyone seems to agree with full-year 2011 chip projections of 1%-2%.

SUSS Microtec launches high-volume temporary wafer bonder with 1st install

12/05/2011 

SUSS MicroTec launched the XBS300 temporary bonder for high-volume wafer manufacturing. The Bond Cluster is configured to temporarily bond 200mm and 300mm wafers for 3D integration applications as well as other processes that require thin wafer handling.

EVG doubles process module space in XT Frame platform

12/05/2011 

EV Group (EVG), wafer bonding and lithography equipment supplier, introduced the XT Frame equipment platform for better process throughput and tool functionality. The platform will be used for all high-volume manufacturing tool offerings.

Crossing Automation FOUP buffer improves wafer movement and storage

12/05/2011 

Crossing Automation Inc., semiconductor fab automation product provider, introduced the Dynamic Access Recirculating Transport and Storage (DARTS) FOUP buffer to improve wafer movement and storage.

AMAT debuts SEM for automatic 20nm defect inspection

12/05/2011 

Applied Materials, Inc. debuted its defect review scanning electron microscope (DR-SEM) Applied SEMVision G5 system to image and analyze 20nm yield-limiting defects in a production environment without manual intervention.

IEDM 2011 Preview: Chipworks' must-see picks for IEDM

12/05/2011 

Chipworks' Dick James maps out the entire 2011 2011 IEEE International Electron Devices Meeting, taking a closer look at what he sees as the more interesting papers in various sessions and what he plans to attend in person.

Innolas wins 450mm wafer handling order

12/02/2011 

Innolas Semiconductor GmbH, wafer sorting and laser marking system maker, received its first contract for a 450mm wafer handling system.

IBM fabs Micron memory cube with TSV tech

12/02/2011 

Using the advanced through-silicon via (TSV) fabrication process at IBM (NYSE:IBM), Micron Technology Inc. (NASDAQ:MU) will begin producing its Hybrid Memory Cube. The companies claim that this is the first CMOS design to go commercial with TSV interconnects.

Intel pushes Samsung back for 2011 semiconductor market leadership: Top 20 chip suppliers

12/02/2011 

Intel Corp.'s semiconductor market dominance has been eroded for several years by Samsung Electronics Co. Ltd., but reversed this trend in 2011. Acquisition played a key role, not just for Intel, but for 5 of the other top-20 semiconductor chip companies, says analyst firm IHS.

ULVAC CVD-Co, -Ni system suits 3D gate, MEMS fab

12/01/2011 

ULVAC Inc. developed the ENTRONTM-EX2 W300 CVD-Ni/CVD-Co system for CVD-Ni and CVD-Co silicidation of 3D semiconductor gates and MEMS. The system is a response to a semiconductor industry transition from PVD to CVD in advanced 3D gate structure film step coverage, ULVAC reports.

Dainippon Screen single-wafer clean tool boasts 800 WPH

12/01/2011 

Dainippon Screen Mfg. Co., Ltd. has developed a scrubber-type SS-3200 single wafer cleaning system with up to 800 wafers per hour capacity and highly stable processing.

Toshiba implementing chip plant closures, rolling shutdowns

11/30/2011 

Sluggish demand in Western markets and a persistently-strong yen are cited as reasons to adjust output and institute shutdowns across the company's Japanese manufacturing footprint.

TEL, ASML develop EUV and other advanced lithography tech

11/30/2011 

Tokyo Electron Limited (TEL) and ASML Holding NV (ASML) will accelerate joint development activities in extreme ultraviolet (EUV) and argon fluoride (ArF) immersion lithography clusters.

GLOBALFOUNDRIES names CFO from ATIC

11/30/2011 

Under new CEO Ajit Monocha's realignment plan, GLOBALFOUNDRIES named new CFO, CTO, and customer engineering and quality leaders. The CTO position is a new one at the semiconductor foundry. The moves are meant to separate technology strategy from technology development.

Partnership forms to commercialize advanced photonic chip innovations

11/29/2011 

The Institute of Microelectronics, a research institute of Singapore's A*STAR, plans to commercialize key innovations in silicon photonic chips designed to support high-speed, high-bandwidth optical communications.

Cymer's ArF lithography gas control ups productivity, drops fluorine gas consumption

11/29/2011 

Cymer Inc. (Nasdaq:CYMI) revealed the third-generation Gas Lifetime eXtension (iGLX) control system for argon fluoride (ArF) immersion light sources used in semiconductor lithography.

Nvidia's ConFab keynote will portray "the virtual IDM"

11/29/2011 

Dr. John Chen, VP of technology and foundry operations at Nvidia, and Thomas Jefferson, ISMI's 450mm project manager, are among the updated speaking roster of ConFab 2012, which will address the economics of semiconductor manufacturing and associated industries (LEDs, MEMS, displays).

Applied Materials' Onyx treatment restores lost carbon, strengthens film structure

11/29/2011 

Applied Materials released a new film treatment, Applied Producer Onyx, that reduces the power consumption in semiconductor chips while increasing mechanical strength. The product targets the challenges associated with 3D packaging applications and technologies.




WEBCASTS



Environment, Safety & Health

Date and time TBD

The semiconductor industry is an acknowledged global leader in promoting environmental sustainability in the design, manufacture, and use of its products, as well as the health and safety of its operations and impacts on workers in semiconductor facilities (fabs). We will examine trends and concerns related to emissions, chemical use, energy consumption and worker safety and health.

Sponsored By:

Wafer Processing

Date and time TBD

As the industry moves to 10nm and 7nm nodes, advances in wafer processing – etch, deposition, planarization, implant, cleaning, annealing, epitaxy among others – will be required. Manufacturers are looking for new solutions for sustained strain engineering, FinFETs, FDSOI and multi-gate technologies, 3D NAND, and high mobility transistors.

Sponsored By:

More Webcasts