Semiconductors

SEMICONDUCTORS ARTICLES



Video interview with SEMATECH: EUV on center stage

08/13/2010 

In this video interview, Bryan Rice, SEMATECH, discusses the readiness of EUV. SEMATECH is partnering with Carl Zeiss for EUV process development. The next phase will be a blank inspection phase, beginning a few months after SEMICON West.

Improving COO of vacuum for PV and semiconductor manufacturing

08/13/2010 

In this video interview, Matthew Taylor, Edwards, addresses the solar and semiconductor markets. How can vacuum pumps be less expensive to operate? How can equipment providers improve service to maintain the pumps over a longer life?

Video: Wafer bevel inspection and other defectivity points

08/11/2010 

Jennifer Braggin, Entegris, chaired a session at ASMC on defect inspection. In this video, she summarizes the key points: new processes and materials add new detection challenges, and analysis from the lab is now moving into the fab. Wafer-edge inspection is highlighted.

SemiProbe patent for modular test system approved

08/11/2010 

SemiProbe has developed proprietary technologies awarded a patent by the United States Patent and Trademark Office. The Probe System for Life allows the company and users to configure test and inspection systems that meet unique requirements usually served by custom products.

CAMP CMP: CMP's FEOL future, "dark art" defect work, mysterious Cu dendrites

08/10/2010 

Techcet's Michael A. Fury offers his observations from this year's International Symposium on CMP at Clarkson U. in New York. Highlights from Day 1: The latest uses of CMP in device integration; SOI wafer bonding; pad surface texture and slurry flow; Cu dendrites in 45nm interconnect patterns; and using microfluidics to measure CMP slurry particle concentration.

Optoelectronics project initiated by packaging group

08/09/2010 

The HDP User Group's Optical Interconnect project aims to alleviate intra-cabinet interconnect bottlenecks envisaged in Tbps systems by connecting electronic devices with optical paths. The project is developing optical interconnect architectures that can respond to capacity and energy efficiency needs of future high-speed systems.

New report on embedded and fan-out WLP from Research and Markets

08/09/2010 

Research and Markets released "Embedded Wafer-Level-Packages: Fan-out WLP/Chip Embedding in Substrate - 2010 Report," which covers embedded IC packaging markets, technology innovations, the manufacturing processes for fan-out and embedded wafer-level packaging, cost targets, and more.

SEMI: Si wafer shipments are up in Q2 2010

08/05/2010 

New quarterly total area shipments are 40% greater than second quarter 2009 shipments and are at their highest levels ever.

Docking and Mounting Interface Workgroup releases main goals

08/05/2010 

The group will work on standard terminology and reference points for the mechanical interfaces of probers, handlers, testers, dockings, contactors and load boards. All companies that use or supply test equipment are invited to actively contribute.

Semiconductor growth prompts iSuppli to pump up 2010 forecast

08/05/2010 

Already pumped up by bulging demand, the global semiconductor market in 2010 has been injected with a powerful dose of growth steroids, prompting iSuppli Corp. to raise its revenue forecast to a record level for the year.

CHAD adapts wafer handlers to LED sapphire wafer sizes

08/04/2010 

CHAD Industries developed wafer-handling capabilities for Sapphire wafers used in the LED market.

Analysis: Memory, foundries gain in 1H10 chip ranks

08/02/2010 

A strong rebound in the memory sector over the past few quarters has helped key players push up the ranks of top suppliers, according to new data from IC Insights. Also represented well are key semiconductor foundries.

UNISEM records 40% jump in Q2 revenue from 2009

08/02/2010 

Semiconductor packaging and test provider Unisem (M) Berhad announced results for the second quarter, ended 30 June 2010 (2Q10).

AMAT findings on virtual metrology

08/02/2010 

James Moyne, Applied Materials, highlights a new technology: virtual metrology. Virtual metrology, on which Moyne presented at ASMC/SEMICON, enables tighter semi fab control using line data analysis. The summarized data is synched with real metrology data. Virtual metrology is now adaptive.

Nanofabrication available on Carl Zeiss helium ion microscope

08/02/2010 

Carl Zeiss SMT debuted an integrated gas injection system on the ORION Plus Helium Ion Microscope. The gas injector reportedly delivers superior nanofabrication, deposition and etch.

The rule of three for CMP

08/01/2010  Michael A. Fury, Techcet Group, LLC, Del Mar, CA

Interfacial properties of Cu-Cu direct bonds for TSV integration

08/01/2010  With varying process conditions, the quantitative analysis of the interfacial adhesion energy of Cu-Cu thermo-compression bonds was performed. Bioh Kim, et al, EV Group, Inc., Tempe, AZ USA; Eun-Jung Jang, et al, Andong National University, Andong, Korea

Process equipment readiness for through-silicon via technologies

08/01/2010  Unit processes, integration schemes, and equipment are in place to enable development and pilot production of TSV technologies and all parts of the value chain do exist today at 300mm to enable integration technology qualification, end-product samples, and limited pilot production. Sesh Ramaswami, Applied Materials, Santa Clara, CA USA

Overlay error components in double-patterning lithography

08/01/2010  Wafer selection at the beginning of a process could help minimize the effects of shape changes during the wafer processing that may affect overlay error. Venkat R. Nagaswami, et al, KLA-Tencor Corp., Milpitas, CA

Video interview with Entegris: Contamination control at 22nm and below

07/30/2010 

Christopher Wargo, Entegris, talks contamination control at 22nm and below. Lithography presents a suite of issues for contamination control. While the technology exists to confront new contaminants, commercialization is key.




WEBCASTS



Environment, Safety & Health

Date and time TBD

The semiconductor industry is an acknowledged global leader in promoting environmental sustainability in the design, manufacture, and use of its products, as well as the health and safety of its operations and impacts on workers in semiconductor facilities (fabs). We will examine trends and concerns related to emissions, chemical use, energy consumption and worker safety and health.

Sponsored By:

Wafer Processing

Date and time TBD

As the industry moves to 10nm and 7nm nodes, advances in wafer processing – etch, deposition, planarization, implant, cleaning, annealing, epitaxy among others – will be required. Manufacturers are looking for new solutions for sustained strain engineering, FinFETs, FDSOI and multi-gate technologies, 3D NAND, and high mobility transistors.

Sponsored By:

More Webcasts