Semiconductors

SEMICONDUCTORS ARTICLES



Silterra prepping $2B expansion for 300mm, 65nm push

07/19/2007  July 18, 2007 - Silterra Malaysia has laid out its three-stage plan to pump up capacity for process technologies ranging from 0.18-micron to 65nm, including plans to build a new 20k-25k WPM 300mm wafer fab, at a total cost of $1.5-2.0 billion.

Vistec combines litho groups

07/19/2007  July 18, 2007 - Vistec Semiconductor Systems says it will combine its electron beam and lithography business groups in order to "better meet customers' requirements and improve synergy across the organization."

SUSS launches, ships 300mm SOI bonding system

07/18/2007  July 18, 2007 - Today at SEMICON West, SUSS MicroTec launched the ELAN CBC300SOI, its new 300mm SOI wafer bonding system, and said that it has already shipped the SOI bonding system to a leading manufacturer of SOI wafers.

AMAT releases oxide spacer system at SEMICON West

07/18/2007  July 18, 2007 - Applied Materials Inc. today released its Applied Producer ACE SACVD system, which helps extend 193nm lithography using self-aligned double patterning (SADP) schemes. The ACE system reportedly delivers a highly conformal oxide spacer film with greater than 95% step coverage, <5% pattern loading and <1% nonuniformity for critical dimension control.

ASML selects Cymer as EUV source supplier for HVM

07/18/2007  July 18, 2007 - At SEMICON West 2007, ASML Holding NV (ASML) has selected Cymer Inc. as the extreme ultraviolet (EUV) source supplier for ASML's EUV scanners for high-volume manufacturing (HVM). Cymer disclosed that it has signed a multi-year, multi-unit EUV source agreement with the first shipment scheduled for late 2008.

Carl Zeiss announces new 248nm litho system for 80nm resolution

07/18/2007  July 18, 2007 - Carl Zeiss SMT AG announced at SEMICON West today its new optical lithography system for KrF, the Starlith 1000, with a numerical aperture (NA) of 0.93, which will reportedly be the highest NA for 248nm exposure wavelength available in the market.

IMEC extends 3D system integration program

07/18/2007  July 18, 2007 - IMEC has expanded its 3D packaging research program to fully exploit the potential of novel 3D technologies. Besides 3D interconnection technologies developments, the program is extended with research on system design methodologies. Both the technology and design sub-programs will be based on actual system requirements and closely coupled.

FormFactor announces new test technology

07/18/2007  July 18, 2007 - FormFactor Inc. has developed a probing contact technology capable of full-area wafer probing of high pin density, ultra-fine pitch devices, announced the company at SEMICON West.

ICOS introduces automated 300mm wafer handling system

07/18/2007  July 18, 2007 - ICOS Vision Systems Corp. NV, Leuven, Belgium, has introduced its new HM-300 automated wafer handling system for 300mm wafers at the SEMICON West trade show. Key market segments for the new product are semiconductor ICs, optoelectronics, advanced packaging, and MEMS.

Consumerization stressing out semiconductor industry

07/18/2007  While the consumerization of the electronics industry is creating huge demand for semiconductor content, it is also causing enormous stress for chipmakers and equipment suppliers alike, according to representatives from each group speaking at a SEMI Executive Panel on Monday (July 16) at SEMICON West.

Brewer, EVG Collaborate for Thin-wafer Bonding

07/18/2007  EV Group partnered with Brewer Science, Inc., to introduce a bonding system that handles ultra-thin wafers. The jointly developed technology performs temporary bonding between standard wafers and rigid carrier wafers, then wafer thinning and back-side processing are performed on the wafer stack.

SEZ: Single-wafer cleaning gaining favor in BEOL

07/18/2007  Cleaning wafers for flip-chip applications represents a growing area for Zurich, Switzerland-based SEZ, says Kurt Lachenbucher, executive VP and CEO. Though it's a niche market, it is an increasingly important area (~20% growth regularly), he explained. At the 45nm and 32nm technology nodes, particle issues become so critical that single-wafer cleaning can improve semiconductor yields. Cleaning can damage the wafer surface; therefore, methods that prevent damage grow in importance.

Novellus sees bright future after waning memory capex, but no solar flare

07/18/2007  In a Tuesday analyst/press event at SEMICON West, Novellus execs discussed the company's soft-yet-optimistic 2Q07 results, why copper is already king among memory firms, and why they see empty economic promises in the solar market

FormFactor endeavors to reduce cost of test

07/18/2007  In the past week, two announcements by FormFactor, provider of advanced wafer probe cards, illustrate the company's pursuit to bring down the cost of test by taking IC testing upstream to the wafer level. "The only cost-efficient way to test is to test on the wafer," stated Igor Khandros, CEO, FormFactor.

SEMICON WEST REPORT: Do lean principles apply to semi manufacturing?

07/18/2007  Delivering the opening keynote address at SEMICON West on Tuesday (July 17), Douglas Grose, AMD's SVP of technology development, assailed a widely held "faulty belief" in the uniqueness of the semiconductor manufacturing, calling on the industry to adopt highly successful principles and practices of lean manufacturing deployed in other industries.

High-k, low-k, super-k, special-k...

07/18/2007  SEMATECH's announcement about a new "super High-k" dielectric for ICs doesn't reveal much detail about the actual material's properties or potential integration advantages/challenges. But it surely adds yet another twist to the already confusing nomenclature for describing materials used in leading-edge semiconductor manufacturing.

AMAT eyes HK+MG etching with "Carina" Centura chamber

07/18/2007  July 17, 2007 - Taking a stab at specific needs for semiconductor manufacturing using high-k dielectrics and metal gates, Applied Materials has developed a new system on its Centura platform for critical etch parameters, claiming it simultaneously delivers "smooth, vertical sidewalls with zero silicon recess and zero byproduct residue."

Novellus: Business staying soft, but 2008 looking ok

07/18/2007  July 17, 2007 - In a Tuesday analyst/press event at SEMICON West, Novellus Systems Inc. said its 2Q07 profits rose roughly 9% to $57.3M -- its slowest in four quarters -- on ~5% higher revenues of $416.3M, though slightly better than expected. Orders evaporated, though, dropping >19% Q-Q TO $332.2M, with a similar slide predicted for 3Q (shipments, meanwhile, rose ~12% Q-Q to $436.4M).

Brewer Science, EV Group debut bonding combo for ultrathin wafers

07/18/2007  July 17, 2007 - A new system for ultrathin wafer processing developed by Brewer Science Inc. and EV Group aims to provide a temporary wafer bonding technology for reliably processing sub-100-micron thinned wafers.




WEBCASTS



Environment, Safety & Health

Date and time TBD

The semiconductor industry is an acknowledged global leader in promoting environmental sustainability in the design, manufacture, and use of its products, as well as the health and safety of its operations and impacts on workers in semiconductor facilities (fabs). We will examine trends and concerns related to emissions, chemical use, energy consumption and worker safety and health.

Sponsored By:

Wafer Processing

Date and time TBD

As the industry moves to 10nm and 7nm nodes, advances in wafer processing – etch, deposition, planarization, implant, cleaning, annealing, epitaxy among others – will be required. Manufacturers are looking for new solutions for sustained strain engineering, FinFETs, FDSOI and multi-gate technologies, 3D NAND, and high mobility transistors.

Sponsored By:

More Webcasts