Semiconductors

SEMICONDUCTORS ARTICLES



TSMC, UMC profits rise; 4Q outlooks weaker

10/27/2006  October 27, 2006 - Top foundry Taiwan Semiconductor Manufacturing Co. (TSMC) said 3Q06 profits rose 33% year-on-year to about $976 million, on 17% higher sales of $2.47 billion, but projected an 8%-10% decline in 4Q sales as it prepares for an industry correction. On a sequential basis, 3Q revenue was basically flat, with a 4.4% decrease in net income.

SEMATECH, supplier develop CMP system

10/27/2006  October 27, 2006 - SEMATECH's R&D wafer fab subsidiary, Advanced Technology Development Facility Inc. (ATDF), and consumables supplier SemiQuest, Fremont, CA, have created a new chemical-mechanical polishing (CMP) pad system that helps preserve fragile, ultralow-k (k as low as 2.2) materials on semiconductor wafers.

Intelligent Micro Patterning offers new rotational stage assembly

10/27/2006  Intelligent Micro Patterning LLC of St. Petersburg, Florida, announced its SF-100 Rotational Stage Assembly, a new enhancement to the SF-100 maskless micropatterning system.

Omron buying Seiko Epson 200mm chip fab

10/26/2006  October 26, 2006 - Automation and control technology firm Omron Corp. has agreed to acquire a 200mm CMOS semiconductor factory in Yasu, Shiga, Japan from Yasu Semiconductor Corp., a consolidated subsidiary of Seiko Epson. Terms of the deal were not disclosed.

Report: Taiwan PC makers facing DRAM, chip shortage

10/26/2006  October 26, 2006 - PC manufacturers are now scrambling to overcome a shortage of microprocessors, DRAM, and chipsets, mainly due to demand for systems that can handle Microsoft's forthcoming Vista operating system, according to a report in the Taiwan Economic News.

TEL adding Rudolph litho inspection to coater/developer

10/26/2006  October 26, 2006 - Tokyo Electron Ltd. has signed a deal to integrate Rudolph Technologies' lithography inspection technology in its coater/developer tools, with worldwide distribution rights.

Qualcomm signs up with IBM/Chartered/Samsung alliance

10/26/2006  October 26, 2006 - The Common Platform alliance of IBM, Chartered Semiconductor Manufacturing, and Samsung Electronics Co. Ltd. say they have produced system-on-chip (SoC) products with 90nm process technologies for Qualcomm Inc., for use in mobile telecommunications devices such as cellular phone chipsets and other devices.

Nanometrics, ASML tie up for litho metrology

10/26/2006  October 26, 2005 - Nanometrics Inc. and ASML Holding NV have entered into a cross-licensing agreement to incorporate Nanometrics' overlay and critical-dimension control metrology technology into ASML's lithography systems.

Nanometrics, ASML to cross license advanced overlay, control systems

10/26/2006  Nanometrics Inc., a Milpitas, Calif., supplier of advanced integrated and standalone metrology equipment to the semiconductor industry, announced it has entered into a cross-licensing agreement with ASML, the provider of lithography systems for the semiconductor industry based in Veldhoven, the Netherlands.

NanoForum poised to highlight next-gen electronics

10/25/2006  The SEMI trade association will highlight non-traditional approaches to electronics manufacturing at its NanoForum trade show.

EUV lithography approaches reality at IMEC

10/24/2006  One of the first two full-field extreme ultraviolet (EUV) lithography systems in the world is now being installed at IMEC in Leuven, Belgium (the other is at Albany Nanotech in New York). Journalists were invited to visit the class-1000 cleanroom full of 300mm tools to see the huge system that is expected to replace immersion lithography after another two or three nodes.

VLSI: "Brisk" tool demand in 2006 continues

10/24/2006  October 24, 2006 - There's still a lot of demand for semiconductor manufacturing equipment, with tool orders and sales still sporting hefty 30%+ growth in September and on track for 20%+ growth overall in 2006, according to new data from VLSI Research Inc.

Mattson extends selective oxidation to advanced gate stacks

10/24/2006  Memory manufacturers are dealing with tighter thermal budgets as they move beyond the 70nm node, and are embracing batch furnaces to bypass the longer processing times arising from the larger thermal masses in conventional furnaces. Mattson Technology Inc. says its new Atmos dual-chamber, single-wafer 300mm tool will let users address those thermal budget constraints as they extend the well-known process of selective oxidation to more advanced nodes.

ISMI REPORT: Intel touts environmental benefits of 300mm conversion

10/24/2006  Efficiencies and cost benefits have driven the shift from 200mm to 300mm wafers for semiconductor manufacturing. But in a detailed study presented earlier this month at the third annual International SEMATECH Manufacturing Initiative (ISMI) Symposium on Manufacturing Effectiveness in Austin, TX, Intel found its ramp of 300mm manufacturing has also led to significant environmental benefits -- with lessons that could result in further gains if and when the industry moves to 450mm production.

SiTime intros tiniest MEMS resonator

10/24/2006  SiTime, a Sunnyvale, Calif., company bringing MEMS-based all silicon timing solutions to market, introduced what it claims is the smallest and thinnest megahertz resonator.

October 2006 Exclusive Feature:
LITHOGRAPHY

Outlook for EUVL manufacturing insertion



10/23/2006  By Stefan Wurm, SEMATECH, Austin, Texas

Extreme ultraviolet (EUV) technology maturity must be demonstrated by the performance of the first EUV lithography (EUVL) alpha tools, by the readiness of EUVL infrastructure to support beta-level EUVL lithographic performance in the near future, and by the overall cost of ownership (CoO) of EUVL. ...

ST to use Samsung's OneNAND flash memory

10/23/2006  October 23, 2006 - STMicroelectronics has licensed One NAND flash memory technology from Samsung Electronics Co. Ltd., with support starting in early 2007, the companies announced. The technology will be used in various applications including mobile devices and handsets.

ATE standards group widens scope to entire test process

10/23/2006  ATE standards group widens scope to entire test process October 23, 2006 - The Semiconductor Test Consortium (STC), main proponent of the Openstar test interface, says it is expanding its scope with a new initiative to enable development of automatic test equipment (ATE) peripheral interface standards.

Report: Standards dividing cluster tool growth

10/23/2006  October 23, 2006 - A division between large and smaller cluster-tool suppliers has developed along open architecture adhering to industry standards, and growth is slow for companies that are actively seeking to make their tools compatible, according to a report from The Information Network.

Analyst: Copper conversion driving CMP growth

10/23/2006  October 23, 2006 - Memory chipmakers' conversion to copper damascene interconnect materials will drive 26% growth in demand for copper slurry for CMP, according to new data from market research firm The Information Network.




WEBCASTS



Environment, Safety & Health

Date and time TBD

The semiconductor industry is an acknowledged global leader in promoting environmental sustainability in the design, manufacture, and use of its products, as well as the health and safety of its operations and impacts on workers in semiconductor facilities (fabs). We will examine trends and concerns related to emissions, chemical use, energy consumption and worker safety and health.

Sponsored By:

Wafer Processing

Date and time TBD

As the industry moves to 10nm and 7nm nodes, advances in wafer processing – etch, deposition, planarization, implant, cleaning, annealing, epitaxy among others – will be required. Manufacturers are looking for new solutions for sustained strain engineering, FinFETs, FDSOI and multi-gate technologies, 3D NAND, and high mobility transistors.

Sponsored By:

More Webcasts