Semiconductors

SEMICONDUCTORS ARTICLES



Euro EUV program touts power output milestone

12/09/2005  December 9, 2005 - A European Commission-sponsored research project said it has achieved a significant breakthrough with development of a 800W EUV light source.

NEC unveils 45nm work on LSI interconnect, sub-10nm transistors

12/09/2005  December 8, 2005 - NEC Corp. and NEC Electronics Corp. said they have developed a new device technology for low-power, high-performance systel LSI capable of enhancing functionality of sub-10nm transistors.

Intel, ST pair for sub-90nm flash

12/08/2005  December 8, 2005 - Intel and STMicroelectronics have developed a common flash memory subsystem aimed at creating a "second source" for 90nm and beyond NOR flash products and subsystems, in order to lower long-term development costs for handsets and mobile phones.

IMEC, TEL prep EUV, immersion lineup

12/08/2005  December 8, 2005 - European R&D consortium IMEC is installing two 300mm coater/developer tools from Tokyo Electron Ltd. for use in EUV and 193nm immersion lithography work.

ASML receiving EUV parts, sets 2006 litho tools schedule

12/08/2005  December 8, 2005 - ASML said it plans to ship 20-25 immersion lithography systems in 2006, including shipments to Japan, and is now receiving components for its first EUV alpha tools being delivered next year to IMEC and Albany NanoTech.

IMEC reports CMOS integration of Hf-based dielectrics with Ni FUSI gates

12/08/2005  December 8, 2005 - At IEDM 2005, IMEC presented breakthroughs demonstrating FUSI as a leading candidate for integration of Hf-based dielectrics with metal gates for (sub)-45nm.

SEMI: Semiconductor equipment companies expect sales of US$32.95B in 2005

12/08/2005  December 8, 2005 - The leading manufacturers of semiconductor equipment project 2005 sales to reach $32.95 billion, according to the just-released, year-end edition of the SEMI Capital Equipment Consensus Forecast.

New UV thermal processing platform

12/07/2005  December 7, 2005 - At Semicon Japan, a new ultraviolet thermal processing platform from Novellus was introduced that blends industry-standard lamp-based UV light sources with the company's multistation sequential processing architecture.

IEDM Conference news

12/06/2005  December 6, 2005 - At the International Electron Devices meeting this week in Washington, DC, news includes: 1) Limited and Fujitsu Laboratories Ltd. have developed carbon nanotube-based heatsinks for semiconductor chips. 2) Freescale Semiconductor has demonstrated a transistor that overcomes many of the challenges associated with vertical multigate devices. 3) STMicrolectronics unveiled a 65nm NOR Flash technology with a small cell size of 0.042 sq microns.

December 2005 Exclusive Feature: AUTOMATION/ROBOTICS/WAFER HANDLING

A wafer-handling robot upgrade results in reduced wafer scratches



12/02/2005  Dennis Winters, Samsung Austin Semiconductor LP; Richard Kent, Fabworx Solutions Inc.

Fabs operating cluster tools from the 1990s frequently report robot-related wafer scratching as a common source of yield loss. When scratching occurs, the probability of killing a die is nearly 100%. The dominant cause of wafer scratching in these tools is robot droop, a result of wear that causes the robot blade to use more of the vertical clearance between the wafers within a cassette.

Japan scientists make Braille rollable display

12/02/2005  December 2, 2005 - Researchers at the U. of Tokyo have created a flexible Braille display utilizing organic semiconductor devices -- plastic actuators driven by an organic field-effect transistor active matrix.

IEEE eyes nanotube standards

12/02/2005  December 2, 2005 - The IEEE has begun work on a new standard to define methods for testing carbon nanotubes used as additives in bulk materials, and how to report data about the materials' performance.

AMD, SemIndia eye $3B fab in India

12/02/2005  December 2, 2005 - Public-private partnership SemIndia and Indian state government have announced plans to spend roughly $3 billion to build the first semiconductor manufacturing plant in India, licensing x86 microprocessor and logic technology from AMD.

IC utilization back above 90%, led by leading-edge tech

12/02/2005  December 2, 2005 - Total utilization rates for IC production rose slightly to 90.1% in 3Q05, up from 89.1% in 2Q05 and 84.8% in 1Q05, with run rates for leading-edge technologies (<0.12 microns, and 0.12-0.16 microns) pushing to 96%-97% by the end of September, according to data from Semiconductor International Capacity Statistics (SICAS).

Japanese researchers fabricate functional RRAM

12/02/2005  December 2, 2005 - Researchers at Japan's Nippon Telegraph & Telephone Corp. (NTT) have developed a way to fabricate functional resistance random-access memory (RRAM) using existing electronic materials, possibly opening a pathway to low-cost mass production of the technology touted as faster, low-power alternative to flash memory.

Intel to build $3.5 billion plant in Israel

12/02/2005  December 2, 2005 - Intel Corp. said yesterday that it plans to build a new $3.5 billion, 300mm wafer fabrication facility at its site in Kiryat Gat, Israel. The new factory will produce chips using 45nm process technology and will be operational in 2008.

Toppan Photomasks delivers EUV masks to ASML

12/01/2005  December 1, 2005




WEBCASTS



Environment, Safety & Health

Date and time TBD

The semiconductor industry is an acknowledged global leader in promoting environmental sustainability in the design, manufacture, and use of its products, as well as the health and safety of its operations and impacts on workers in semiconductor facilities (fabs). We will examine trends and concerns related to emissions, chemical use, energy consumption and worker safety and health.

Sponsored By:

Wafer Processing

Date and time TBD

As the industry moves to 10nm and 7nm nodes, advances in wafer processing – etch, deposition, planarization, implant, cleaning, annealing, epitaxy among others – will be required. Manufacturers are looking for new solutions for sustained strain engineering, FinFETs, FDSOI and multi-gate technologies, 3D NAND, and high mobility transistors.

Sponsored By:

More Webcasts