Semiconductors

SEMICONDUCTORS ARTICLES



New SEMI Task Force focuses on quality management to meet new, higher reliability standards

02/28/2019  Technologies promising huge growth such as Artificial intelligence (AI), 5G, machine learning, high-performance computing, and telematics are ratcheting up pressure on semiconductor manufacturers in the race among product makers to accelerate time to market and capture share.

97 IC wafer fabs closed or repurposed during past 10 years

02/28/2019  90% of closures were ?200mm wafer fabs; greatest number of closures in Japan.

ON Semiconductor names 2018 Distribution Partner Award winners

02/27/2019  ON Semiconductor today announced its top distribution partners for 2018.

Nova and GLOBALFOUNDRIES jointly awarded the 'Best Metrology Paper' at SPIE Advanced Lithography conference

02/27/2019  Demonstrating adaptive metrology capabilities using machine learning engines.

IBM elects Michelle J. Howard to its Board of Directors

02/27/2019  The IBM board of directors today elected Admiral Michelle J. Howard to the board, effective March 1, 2019.

Qualcomm, Samsung name new Si2 board members

02/27/2019  Qualcomm Incorporated and Samsung Electronics have named two executives to join the Silicon Integration Initiative board of directors. Si2 is a global research and development joint venture that provides standard interoperability solutions for integrated circuit design tools.

Micron accelerates the mobile computing experience with introduction of new client SSD

02/27/2019  Micron Technology, Inc. today added a new cost-efficient solid-state drive (SSD) to its client computing portfolio.

French start-up moves to the edge with battery-operated devices

02/26/2019  The SEMI Europe Industry Strategy Symposium (ISS Europe) returns in Milan, Italy, this year from 31st March to 2nd April, 2019 to explore new opportunities and challenges in the digital economy.

It's all in the twist: Physicists stack 2D materials at angles to trap particles

02/26/2019  Scientists create a unique platform to study quantum optical physics on the nanoscale.

Sigma Labs joins Manufacturing Technology Centre to advance industrialization of additive manufacturing with in-process quality control

02/26/2019  Sigma Labs, Inc. was named a member of the Manufacturing Technology Centre (MTC) located at Ansty Park, Coventry, UK.

Nominations open for ESD Alliance Governing Council

02/26/2019  The Electronic System Design Alliance, a SEMI Strategic Association Partner, today opened nominations for member company executives to serve on the ESD Alliance Governing Council for the next two-year term.

ASML joins the eBeam Initiative

02/26/2019  eBeam Initiative achieves new milestone with 50 member companies from the semiconductor photomask and lithography supply chain.

Cadence CMP Process Optimizer enables Toshiba Memory to accelerate delivery of advanced 3D Flash memory devices

02/25/2019  Cadence Design Systems, Inc. today announced that Toshiba Memory Corporation has successfully used the Cadence CMP Process Optimizer, a model calibration and prediction tool that accurately simulates multi-layer thickness and topography variability for the entire layer stack, to accelerate the delivery of its advanced 3D flash memory devices.

Soitec joins China Mobile 5G Innovation Center

02/25/2019  Soitec, a designer and manufacturer of innovative semiconductor materials, today announced it is the first materials supplier to join the China Mobile 5G Innovation Center.

SEMI launches initiative to build talent pipeline critical to global electronics industry growth

02/25/2019  SEMI today announced SEMI Works, a comprehensive program to attract, develop and retain the talent critical to the worldwide electronics industry's continued innovation and growth.

GOWIN Semiconductor announces release of the new GOWIN EDA tools for improved performance on new FPGA product families

02/22/2019  GOWIN Semiconductor Corp. announces the release of GOWIN's new EDA tool, YunYuan 1.9.

North American semiconductor equipment industry posts January 2019 billings

02/22/2019  January billings of North American equipment manufacturers declined 10 percent when compared to the prior month.

Advances in logic IC process technology move forward

02/22/2019  Despite increasing development costs, IC manufacturers continue to make great strides.

Graphene 'sandwich' key to new electronics

02/21/2019  Lithographically carved graphene and boron nitride 'sandwich' yields outstanding electrical properties.

Physicists get thousands of semiconductor nuclei to do 'quantum dances' in unison

02/21/2019  A team of Cambridge researchers have found a way to control the sea of nuclei in semiconductor quantum dots so they can operate as a quantum memory device.




WEBCASTS



Environment, Safety & Health

Date and time TBD

The semiconductor industry is an acknowledged global leader in promoting environmental sustainability in the design, manufacture, and use of its products, as well as the health and safety of its operations and impacts on workers in semiconductor facilities (fabs). We will examine trends and concerns related to emissions, chemical use, energy consumption and worker safety and health.

Sponsored By:

Wafer Processing

Date and time TBD

As the industry moves to 10nm and 7nm nodes, advances in wafer processing – etch, deposition, planarization, implant, cleaning, annealing, epitaxy among others – will be required. Manufacturers are looking for new solutions for sustained strain engineering, FinFETs, FDSOI and multi-gate technologies, 3D NAND, and high mobility transistors.

Sponsored By:

More Webcasts