Semiconductors

SEMICONDUCTORS ARTICLES



Phase transition dynamics in two-dimensional materials

02/12/2019  Two-dimensional transition metal dichalcogenides (2D-TMDs) such as monolayer molybdenum disulphide (MoS2) are atomically thin semiconductors in which a layer of transition metal atom is sandwiched between two layers of chalcogen atoms, in the form MX2.

200mm fabs to add 700,000 wafers through 2022, SEMI reports

02/12/2019  Robust demand for more content for mobile, Internet of Things (IoT), automotive and industrial applications will drive production of 700,000 200mm wafers from 2019 to 2022, a 14 percent increase, reports SEMI.

Maryam Cope joins Semiconductor Industry Association as Government Affairs Director

02/11/2019  The Semiconductor Industry Association (SIA) announced Maryam Cope has joined the association as government affairs director.

Four Chinese OEMs were among the top 10 global semiconductor customers in 2018

02/11/2019  Samsung Electronics and Apple remained the top two semiconductor chip buyers in 2018, representing 17.9 percent of the total worldwide market, according to Gartner, Inc.

Quantum strangeness gives rise to new electronics

02/11/2019  Noting the startling advances in semiconductor technology, Intel co-founder Gordon Moore proposed that the number of transistors on a chip will double each year, an observation that has been born out since he made the claim in 1965. Still, it's unlikely Moore could have foreseen the extent of the electronics revolution currently underway.

Record revenues for critical subsystems suppliers in 2018 despite collapse in orders

02/08/2019  Critical subsystems for the IC equipment market continued to grow to a new record of $11 billion in 2018. While 2019 is expected to be a downturn year, the long-term outlook remains unchanged with an average growth rate of 3 percent.

China IC production forecast to show a strong 15% 2018-2023 CAGR

02/08/2019  However, China’s indigenous IC production is still likely to fall far short of government targets.

Governor Cuomo announces IBM investment to create artificial intelligence hardware center at SUNY Poly Albany campus

02/07/2019  Governor Andrew M. Cuomo today announced that IBM, a long-time anchor tenant at the SUNY Polytechnic Institute campus in Albany, plans to invest over $2 billion to grow its high-tech footprint at the campus and throughout New York State.

Graphcore leverages Mentor DFT solutions to speed time to market for innovative AI acceleration chip

02/06/2019  Mentor, a Siemens business, today announced that artificial intelligence (AI) semiconductor innovator Graphcore (Bristol, U.K.) successfully met its silicon test requirements and achieved rapid test bring-up on its Colossus Intelligence Processing Unit (IPU) by using Mentor's Tessent product family.

AIM Photonics announces 300mm silicon photonics multi-project wafer performance

02/05/2019  The American Institute for Manufacturing Integrated Photonics (AIM Photonics) today announced a number of technical updates leading to best-in-class 300mm silicon (Si) photonics-based multi-project wafer (MPW) performance for the Department of Defense-sponsored initiative led by SUNY Polytechnic Institute (SUNY Poly).

UC Riverside physicists create exotic electron liquid

02/04/2019  The first production of an electron liquid at room temperature opens the way for new optoelectronic devices and basic physics studies.

Global semiconductor sales increase 13.7% to $468.8B in 2018

02/04/2019  Global demand for semiconductors reached a new high in 2018, with annual sales hitting a high-water mark and total units shipped topping 1 trillion for the first time.

Mark Majewski named new intelliFLEX CEO

02/04/2019  Electronics industry veteran succeeds founding CEO Peter Kallai as organization shifts head office from Ottawa to GTA.

KLA-Tencor appoints Victor Peng to Board of Directors

02/01/2019  KLA Corporation today announced the appointment of Victor Peng to its board of directors.

Mobile Semiconductor introduces a new 55nm high density memory compiler especially designed for IoT devices

02/01/2019  Mobile Semiconductor announced a new 55nm HD (High Density) memory compiler targeted at the cost sensitive IoT market.

Broadcom joins Semiconductor Industry Association

01/31/2019  The Semiconductor Industry Association today announced the addition of Broadcom Inc. as an SIA member.

Intel names Robert Swan CEO

01/31/2019  Intel Corporation today announced that its board of directors has named Robert (Bob) Swan as chief executive officer.

Waterproof graphene electronic circuits

01/31/2019  Water molecules distort the electrical resistance of graphene, but a team of European researchers has discovered that when this two-dimensional material is integrated with the metal of a circuit, contact resistance is not impaired by humidity. This finding will help to develop new sensors -the interface between circuits and the real world- with a significant cost reduction.

Researchers at TU Dresden decipher electrical conductivity in doped organic semiconductors

01/31/2019  Researchers from the Dresden Integrated Center for Applied Physics and Photonic Materials (IAPP) and the Center for Advancing Electronics Dresden (cfaed) at TU Dresden, in cooperation with Stanford University (USA) and the Institute for Molecular Science in Okazaki (Japan), have identified the key parameters that influence electrical conductivity in doped organic conductors.

VIS to acquire GLOBALFOUNDRIES' Fab 3E in Singapore

01/31/2019  Vanguard International Semiconductor Corporation (VIS) and GLOBALFOUNDRIES (GF) today announced that VIS will acquire GF's Fab 3E in Tampines, Singapore.




WEBCASTS



Environment, Safety & Health

Date and time TBD

The semiconductor industry is an acknowledged global leader in promoting environmental sustainability in the design, manufacture, and use of its products, as well as the health and safety of its operations and impacts on workers in semiconductor facilities (fabs). We will examine trends and concerns related to emissions, chemical use, energy consumption and worker safety and health.

Sponsored By:

Wafer Processing

Date and time TBD

As the industry moves to 10nm and 7nm nodes, advances in wafer processing – etch, deposition, planarization, implant, cleaning, annealing, epitaxy among others – will be required. Manufacturers are looking for new solutions for sustained strain engineering, FinFETs, FDSOI and multi-gate technologies, 3D NAND, and high mobility transistors.

Sponsored By:

More Webcasts