Tag Archives: letter-mems-top

Technavio’s latest report on the global microelectromechanical systems (MEMS) microphone market provides an analysis on the most important trends expected to impact the market outlook through 2020. Technavio defines an emerging trend as a factor that has the potential to significantly impact the market and contribute to its growth or decline.

According to the report, the global MEMS microphone market is expected to reach close to USD 2 billion by 2020, posting a CAGR of over 12%. MEMS microphones are being integrated in most audio applications and are growing in popularity due to their digital output, monolithic structure, and high tolerance to mechanical vibration. Apple and Samsung are the major revenue contributors to the market, as they purchase majority of the MEMS microphones for integration into their numerous consumer electronic products.

Asif Gani, a lead industry analyst from Technavio’s semiconductor equipment research team says, “MEMS microphones are important components in smartphones and tablets as they are used to improve sound clarity and eliminate ambient sounds. Thus, the rapid adoption of mobile devices will create a high demand for MEMS microphones. This technology is also gaining traction in the healthcare sector as it is being integrated into hearing aids and blood pressure monitoring systems.”

The top two emerging trends driving the global MEMS microphone market according to Technavio’s research analysts are:

Miniaturization

MEMS microphones are 10 times smaller than electret condenser microphones (ECMs), and further reductions in size are expected during the forecast period. These devices also have more functionalities than traditional ECMs.

“The small size makes the MEMS microphones preferable as it occupies less space when embedded in electronic devices. The small size coupled with low power consumption adds to the sturdiness of these devices, making them efficient in providing high-quality output,” adds Asif.

Advanced MEMS packaging

The requirement to integrate 9-axis sensors in a single package has increased the importance of MEMS packaging. Rapid advances in technology and increase in unit shipments of MEMS sensors has also made it important for vendors to achieve standardization in packaging. The other types of advanced MEMS packaging include low-temperature wafer bonding, doped polysilicon, and silicon interposers for packaging and packing MEMS at wafer dicing level.

Competitive vendor landscape

With the entry of numerous new vendors in the global MEMS microphone market, competition in the market has increased, which in turn has resulted in the decline of average selling prices of MEMS microphones. This is compelling vendors to offer their products at low prices, thus affecting their revenue.

Knowles dominates the market with almost 50% of the overall market share. Companies such as AAC and GoerTek are dependent on demand from Apple, who is their largest client, and accounts for more than 40% of the MEMS microphone revenue for both companies. Both AAC and GoerTek MEMS source their die technology from Infineon.

By Denny McGuirk, SEMI president and CEO

“In like a lion, out like a lamb” is just half the story for 2015.  While initial expectations forecasted a double-digit growth year, the world economy faded and dragged our industry down to nearly flat 2015/2014 results.

However, 2015 will be remembered for a wild ride that fundamentally changed the industry.  In 2015 a wave of M&A activity swept across the industry supply chain — unlike any single year before — with scores of transactions and notable multi-billion dollar companies being absorbed.  In 2016, we all will be working within a newly reconfigured supply chain.

Increasingly, in this business landscape, collaboration is required simultaneously across the extended supply chain — customers’ customers’ customers are now routinely part of the discussion in even unit process development.  Facilitating interaction and collaboration across the extended supply chain is part of what SEMI does and I’ll be updating you in next week’s letter on how, but first, let’s review what’s happened and what’s happening.

2015 Down 1%: “In Like a Lion, Out Like a Lamb”

2015 had an optimistic start with a strong outlook and good pace in Q1 and 1H.  In January 2015 forecasters projected semiconductor equipment and materials growing in a range of 7 percent to nearly 14 percent vs. 2014.  Global GDP, as late as May 2015, was pegged at 3.5 percent for 2015 after coming in at only 3.4 percent in 2014.  In August, estimates dropped to 3.3 percent, in November estimates dropped further to 3.1 percent for the year.

As our industry has matured, semiconductor equipment and materials growth rates are ever more tightly correlated to shifts in global GDP.  With global GDP unexpectedly dropping, the second half saw declining book-to-bill activity and the year will likely end flat or slightly negative for 2015.  Though nearly flat, the numbers are still impressive with a healthy $37.3 billion annual revenue for semiconductor manufacturing equipment and $43.6 billion for semiconductor materials.

An important change is since the 2009 financial crisis, electronics, chips, and semiconductor equipment and materials markets have been much more stable year-to-year than in the years prior to 2009.  Also, the movement of the three segments is much more synchronized compared to the earlier years of boom and bust. For SEMI’s members this means cycles are becoming more muted — enabling members to shift business models accordingly to better maintain prosperity.

Fab-Equipmt-600w Capital-Equip-600w

 

2015’s $125+ Billion M&A:  Inflection Point for Silicon Valley Icons and Global Titans

2015 is a year that will be viewed as an inflection point in our industry.  The unprecedented M&A volume (more than $125 billion for semiconductor related companies) and the size of individual deals through the electronics supply chain will forever  change the industry.

historic-proportions

While there have been waves of consolidation for semiconductor Integrated Device Manufacturers (IDMs) in the 1980s and 1990s, and semiconductor equipment and materials in the 1990s and 2000s, the fabless semiconductor companies are the latest wave undergoing consolidation.  Although, in 2015, not just fabless, but all segments saw major deals — even iconic chemical brands DuPont and Dow Chemical announced their intention to merge.

Large and familiar brands like Broadcom (Avago), SanDisk (Western Digital), Altera (Intel), Freescale (NXP), and KLA-Tencor (Lam Research) have been merged and will continue forward as part of their acquirers.  China is on the move with its ambitions to quickly grow its indigenous semiconductor supply chain with recent acquisitions of ISSI, OmniVision, NXP RF power unit, and notably Mattson in the semiconductor equipment segment.

In an age when new fab costs are pushing double-digit billions of dollars and leading-edge device tapeouts are surpassing $300 million per part, consolidation is a strategy to increase scale, leverage R&D, and compete better.  For SEMI’s members, the winner-take-all stakes increase and raise expectations for technology, product performance, application development, speed, and support.  This, in turn, means that SEMI members have an increased need for a newly drawn pre-competitive collaboration model along the extended electronics supply chain and for Special Interest Groups (SIGs) to drive collective action in focused sub-segments and for specific issues.

Collaboration-is-critical-6

Source: SEMI (www.semi.org), 2015

2016 Up ~1%: Stay Close to your Customer and your Customer’s Customer and …

Current projections for semiconductor equipment and materials suggest that 2016 will not be a high growth year.  The span of forecasts ranges from almost -10 percent to +5 percent.  At SEMI’s Industry Strategy Symposium (ISS), 10-13 January, we will be taking a deep-dive into the 2016 forecast and on the business drivers and will have a much better picture of the consensus outlook.

However, it is already quite clear that following this enormous wave of consolidation, the industry will look different and will offer new and different opportunities.  Listening to SEMI’s members, I’ve heard that during this period of upheaval it’s absolutely critical to stay close to one’s customers – but more than that – to have access and ongoing direct dialogue with the customer’s customer … and customers’ customers’ customers.

In light of the cost of research and development, the magnitude of risks, and the speed of new consumer electronics adoption, SEMI members find that they need to intimately know emerging requirements two to three steps away in the supply chain, and may require rapid and innovative development from their own sub-suppliers to meet product delivery in time.  In parallel, we see system integrators (electronics providers) staffing up with semiconductor processing engineers and equipment expertise, both for differentiation of their own products and for potential strategic vertical manufacturing.

2016 will mark an acceleration of collaboration and interdependence across the extended supply chain.  Next week, I’ll provide an update letter on SEMI’s related activities with an overview of what SEMI is doing to meet the realities of a reshaped industry.  SEMI’s role is evolving, and more important now than ever, in helping the industry achieve together, what it cannot accomplish alone.

SEMI-Infographic--Achieving

Learn more about SEMI membership and upcoming events.

Between 2015 and 2019, worldwide systems revenues for applications connecting to the Internet of Things will nearly double, reaching $124.5 billion in the final year of this decade, according to IC Insights’ new 2016 edition of its IC Market Drivers report.  During that same timeframe, new connections to the Internet of Things (IoT) will grow from about 1.7 billion in 2015 to nearly 3.1 billion in 2019 (Figure 1), based on the forecast in the new 450-page report, which examines emerging and major end-use applications fueling demand for ICs.

Figure 1

Figure 1

The new IC Market Drivers report shows about 30.0 billion Internet connections are expected to be in place worldwide in 2020, with 85% of those attachments being to web-enabled “things”—meaning a wide range of commercial, industrial, and consumer systems, distributed sensors, vehicles, and other connected objects—and 15% for electronics used by humans to communicate, download and receive streams of data files, and search for online information.  It was the opposite of that in 2000, with 85% of 488 million Internet connections providing human users with online access to the World Wide Web and the remaining 15% serving embedded systems, remote sensing and measurements, control, and machine-to-machine communications.

Strong double-digit increases in the Internet of Things market will drive up IC sales in IoT applications by a compound annual growth rate (CAGR) of 15.9% between 2015 and 2019 to about $19.4 billion in the final year of this decade (Figure 2), according to the new report.  IoT applications will also fuel strong sales growth in optoelectronics, sensors/actuators, and discrete semiconductors (O-S-D), which are projected to rise by a CAGR of 26.0% between 2015 and 2019 to $11.6 billion in four years.  The new IC Market Drivers report shows microcontrollers and system-on-chip microprocessors topping integrated circuit sales growth with a CAGR of 22.3% in the next four years, followed by memories at 19.8%, application specific standard products (ASSPs) at 16.4%, and analog ICs at an annual growth rate of 12.7%.

Figure 2

Figure 2

In the 2014-2019 forecast period of the IC Market Drivers report, wearable systems are projected to be the fastest growing IoT application with sales increasing by a CAGR of 59.0%, thanks in great part to a 440% surge in 2015 due to the launch of Apple’s first smartwatches in 2Q15.  Sales of IoT-connected wearable systems are expected to reach $15.2 billion in 2019 compared to $1.5 billion in 2014 and about $8.1 billion in 2015.

Meanwhile, connected vehicles (passenger cars and light trucks) are expected to be the second fastest market category for IoT technology with revenues growing by a CAGR of 31.5% between 2014 and 2019 to $5.3 billion in the final year of this decade.

Defining and exploiting value proposition is an essential part of wearable technology’s journey from early adopters into mass markets, and sensor platforms enable the key value proposition in most wearable devices today. This is why made-for-wearable sensors are being developed around the world, and this is why IDTechEx Research finds that made-for-wearable sensors will represent 42% of all sensors in wearable devices in 2026, up from a measly 7% in 2015.

There will be a $5.5 billion market for sensors used in wearable technology applications by 2025, according to IDTechEx’s best-selling research report on the topic. With detailed coverage of the 15 most prominent sensor types in wearables today, this report gives a thorough overview of the technology, challenges and opportunities behind one of the key components behind the success of wearable technology.

Fig 1. First and second wave wearable sensors. Source: IDTechEx Research report "Wearable Sensors 2015-2025: Market Forecasts, Technologies, Players" (www.IDTechEx.com/wtsensors)

Fig 1. First and second wave wearable sensors. Source: IDTechEx Research report “Wearable Sensors 2015-2025: Market Forecasts, Technologies, Players” (www.IDTechEx.com/wtsensors)

Overcoming the barriers to adoptions

However, key hurdles must be surpassed in order for these sensor technologies to realize their full potential and penetrate key vertical markets. Healthcare is perhaps the best example, where regulatory processes and liability issues remain extremely prominent. Leading doctors admit that those who fail to adopt of technology in the form of digital health or otherwise will “fall by the way side” as advances occur. However, until all of the parties (device manufacturers, physicians, insurance companies, patients, and the lawyers of all the above) understand a clear system of liability, this remains a significant barrier to adoption.

New sensor technologies unlock new markets

Wearable sensor systems have already begun to unlock new markets. The textile and electronics industry has started to merge together around e-textiles. In the earliest products reaching the market, advances in low-energy communication can be paired with new made-for-wearable sensor types based on textiles and inks that are increasingly washable, comfortable and reliable. The current commercial focus here is on high value sport and fitness applications in the short term, but this will spread to wider industries including healthcare, home textiles, and industrial spaces in the next 2-5 years.

The IDTechEx report concludes that these sensor types will climb to huge volumes in the coming decade. As the number of wearable devices and the number of sensors per device both increase rapidly, sensors used to detect motion (stretching, deformation, etc.), force and pressure will be one of the largest winners, growing at 40% CAGR. The technology landscape here is in a period of divergence. Introduction of stretchable and washable inks, electroactive polymers, textile electrodes and printed piezoelectric sensors add to traditional techniques like inductive sensors using conductive elastomers or otherwise.

This broad technology landscape is a challenge for product designers. With many different materials come different requirements for connector types, electrical specifications, data algorithms and more. It will take some time for clear winners to emerge, and many large companies are still hedging their bets.

Fig 2. Technology landscape. Source: IDTechEx Research report "Wearable Sensors 2015-2025: Market Forecasts, Technologies, Players" (www.IDTechEx.com/wtsensors)

Fig 2. Technology landscape. Source: IDTechEx Research report “Wearable Sensors 2015-2025: Market Forecasts, Technologies, Players” (www.IDTechEx.com/wtsensors)

Sensor fusion

In 2015, half of all wearable sensors are based on MEMS technologies. Inertial measurement units (IMUs) are found in every smartwatch and fitness tracker, making the most of mature MEMS components that are reliable, familiar and cheap.

However, the challenge here is in turning raw data into useful, or ‘actionable’ data. Sensor fusion is the process of combining sensor outputs from multiple sensors to gain greater total insight. The most common example is using individual xyz acceleration and rotation data (e.g. from a 6-axis IMU) into motion data. This in turn can be used to count steps, differentiate between activity types, and so on. It is here that MEMS IMUs see more use cases. For example, they are used alongside optical sensors to manage motion artefacts experienced in optical heart rate monitoring. This was a far more traditional use of such components in physiological analytics, and now the wearable technology industry is beginning to come full circle.

To learn more about the trends with IMUs, stretch and pressure sensors, and all of the other prominent and emerging sensor types used in wearable technology today and in the future, see IDTechEx’s comprehensive report: Wearable Sensors 2015-2025: Market Forecasts, Technologies, Players.

The MEMS industry today is in the age of sensing and interacting. The wide diffusion of MEMS and sensors gives us a better, safer perception of the external environment. In its latest report, Status of the MEMS Industry (Yole Développement, May 2015), the “More than Moore” market research and strategy consulting company, Yole Développement (Yole) estimates that 14 billion devices were produced in 2015. Almost 30 billion will be made annually by 2020. For inertial MEMS devices, Yole’s analysts highlight that IMU manufacturing volumes will grow about 23% between 2015 and 2020. Gyroscope and accelerometer production volumes are also growing, with the following CAGR: 7.9% and 1.6% respectively over the same period. Every sector will keep growing. So, what’s next?

The French Inertial MEMS community, including Yole, will gather on November 27 in Saclay, France. There they will discuss technological evolution and the latest market trends, identify business opportunities and share visions of the future. The conference, entitled “4ème Journée Micro & Nano Technologies pour l’Inertiel,” is backed by the Club des Micro & Nanotechnologies. The Organizing Committee has arranged 19 presentations and is expecting about 100 attendees.

“This event showcases the strength of our national ecosystem in the strategic inertial MEMS area, which covers a wide range of applications, from consumer to automotive, including civil aerospace and military,” said Stéphane Renard, President of the Club NanoMicroTechnologie and Chief Technology Officer at Tronics Microsystems. “Based on this packed program, I am convinced this event will be a huge opportunity for fruitful discussions and exchanges.”

Yole has been actively following the inertial MEMS market’s evolution for more than 17 years. Yole’s analysts conduct thousands of direct interviews in this area every year, with device and system manufacturers, designers, equipment and materials suppliers, and technology developers.

“Most of the discussions we have with the key players in this industry highlight the progressive introduction of more degrees of freedom,” said Dr. Eric Mounier, Senior Technology & Market Analyst, MEMS & Sensors at Yole. “2014 was a successful year for consumer IMU sensors. At Yole, we see high volume adoption in platforms such as the Apple iPhone 6s PlusTM. Clearly, the 6-axis IMU has been adopted in a growing number of platforms. In parallel, 9-axis solutions are gradually being proposed by MEMS device manufacturers with a major target: the wearable market.”

In its MEMS technology and market analysis, Yole estimates that the IMU market was worth US$966 million in 2014, and will grow to US$3 billion in 2020. Consumer smartphones and tablets are driving IMU development. However, business opportunities remain for discrete sensors including accelerometers and gyroscopes for camera module stabilization.

The conference welcomes presentations from leaders of the inertial industry: Thales, iXBlue, Sagem, Club Nano, Dolphin Integration, Asygn, l’Onera, IES Université de Montpellier, Airbus DS, la Direction Générale des Armées (DGA) and more are part of the “4ème Journée Micro & Nano Technologies pour l’Inertiel” program.

There have been a lot of important announcements made by inertial MEMS manufacturers this year that illustrate progress in market volumes and innovations. Some of them will present their vision and highlight the technical evolution during the conference.

For example, Colibrys has recently released its dedicated accelerometer targeting crucial up-and-coming industrial applications, described in an interview available on i-micronews.com. It will be part of the “Perspectives & Applications session” and will share its expertise with the conference’s attendees.

The Executive & Marketing team from Tronics, another major player of the inertial MEMS market, will present progress made on its high performance standard product range GYPRO & AXO. It will also discuss the latest technologies and improvements for future applications, including the M&NEMS platform, developed in collaboration with LETI and dedicated to consumer and automotive applications.

By 2020, the inertial MEMS device market landscape should look very different.

“The next opportunity should come from wearable electronics, where long-term market potential is huge, and autonomous driving,” explained Dr. Guillaume Girardin, Technology & Market Analyst, MEMS & Sensors at Yole.

As part of the third level in assisted driving, the dead reckoning function could be a valuable market opportunity for the inertial MEMS community. This function includes inertial sensors for relative motion associated with cars, such as wheel odometers, encoders, accelerometers and gyroscopes. In the new report “Sensors & Data Management for Autonomous Vehicles” (Yole Développement, October 2015), Yole draws a detailed sensor technology roadmap and describes the associated autonomous functions that will be relevant from 2012 to 2040 and beyond. This covers the numerous sensors and related technologies that could be embedded in vehicles for assisted and autonomous driving.

Recent trends and future directions for wafer bonding are reviewed, with a focus on MEMS.

BY ERIC F. PABO, CHRISTOPH FLÖTGEN, BERNHARD REBHAN, PAUL LINDNER and THOMAS UHRMANN, EV Group, St. Florian, Austria

All devices and products are evaluated to varying degrees on the following factors: 1) availability or assurance of supply, 2) cooling requirements, 3) cost, 4) ease of integration, 5) ease of use, 6) performance, 7) power requirements, 8) reliability, 9) size, and 10) weight. MEMS devices are no exception and the explosive growth of MEMS devices during the last decade was driven by substantial improvements in some of the aforementioned variables. MEMS manufacturing is based on patterning, deposition and etch technologies developed over the last 50 years for the manufacturing of ICs along with the relatively new technologies of aligned wafer bonding and deep reactive ion etch (DRIE). This article will review the recent trends and future directions for wafer bonding with a focus on MEMS along with some mention of wafer bonding for RF and power devices.

The incredible growth in MEMS over the last 20 years has been enabled by the development of the DRIE process by Bosch and by aligned wafer bonding. Many MEMS devices have very small moving parts, which must be protected from the external environment. Initially, this was done using special packages at the die level, which was relatively expensive. Wafer-level capping of MEMS devices seals a wafer’s worth of MEMS devices in one operation, and these capped devices can then be packaged in a much simpler and lower-cost package. Anodic bonding and glass frit bonding were the initial bonding processes used for MEMS and are often referred to as “tried and true.” However, both of these processes have challenges, and as a result, few new MEMS products and processes are being developed using these processes.

Anodic bonding requires the presence of Na or some other alkali ion which causes several problems. The first is that Na ions are driven to the exterior of the wafer during the bonding process and will accumulate on the bonding tooling, requiring the tooling be cleaned on a periodic basis. The second is that Na can cause CMOS circuits to fail – preventing anodic bonding from being used to combine MEMS and CMOS. Almost all MEMS devices require a CMOS ASIC to process the output signal from the MEMS device. Historically, this integration has been done at the package level with wire bonding but now some high-volume products are available where the integration of the CMOS and the MEMS is done as part of the wafer-level capping process. Also, anodic bonding typically requires a maximum process temperature of over 400 ̊C and the presence of a strong electric field during bonding. The high temperature influences the throughput of the bonding process and some devices cannot tolerate the high electric field.

Even though the majority of the MEMS parts that exist today were probably bonded using glass frit, this wafer bonding process has several challenges as well. The major one is that the glass frit is applied and patterned using a silk screen process, which has a typical resolution in the 250 to 300μm range. This means that as the size of the MEMS die decreases, an ever greater percentage of the wafer surface is consumed by the bond line, which limits the number of die per wafer and increases the cost per die. FIGURE 1 shows the effect of bond line width and die size on the percentage of the wafer surface that is consumed by the bond line [1]. Also, many of the glass frits contain Pb to lower the glass transition temperature. Although the amount of Pb is very small, there is widespread concern regarding the use of Pb and being RoHS (Restriction of Hazardous Substance) compliant.

Wafer bonding 1

 

Both anodic bonding and glass frit bonds are nonconductive and therefore not suitable for the formation of connections to electrically conductive through silicon vias (TSVs) at the same time as the seal ring is formed. This means that these processes are not as suitable for the 3D integration of CMOS and MEMS.

For MEMS applications there is a strong trend toward the use of metal-based wafer bonding; in particular, liquid metal-based processes such as solder, eutectic and transient liquid phase (TLP). This trend is driven by the aforementioned challenges with anodic and glass frit bonding. Moving from glass frit to a metal-based bonding for a die size of 2mm2 can result in up to a 100% increase in the die per wafer. This doubling of the die per wafer will result in an approximately 50% decrease in the cost per MEMS die.

Some of the metal-based aligned-wafer-bonding processes that are currently used in high-volume manufacturing are: Au-Au thermo-compression bonding, which has been in volume production for over 10 years; and Al-Ge eutectic bonding, which is very popular even though it requires a very careful process setup and control and has a peak process temperature of over 400 ̊C. Cu-Sn transient liquid phase (TLP) wafer bonding, another metal-based process, is used in low-volume production of hermetically sealed devices such as micro-bolometers [2] but is not currently used in medium- or high-volume production. Cu-Sn TLP wafer bonding also requires very careful design and control of the metal stack as well as the bonding process.

The maximum process temperature that is required for a bonding process has three significant effects. The first is that the bonding process takes longer as the maximum process temperature increases due to the increased time required to heat up to the bonding temperature from the loading temperature and the time required to cool down to the unload temperature. The bonding process time determines the throughput of the wafer bonder(s) and factors into the cost of ownership (CoO) for the bonding process. The second is that the process temperature required for bonding may damage the devices on the wafers being bonded. The aluminum metallization of certain CMOS devices may be damaged at tempera- tures greater than 450 ̊C. The VOx or vanadium oxide used on the sensor pixels for micro-bolometers will be damaged by temperatures greater than 200 ̊C. The third is the internal stress that is created when wafers with mismatched coefficients of thermal expansion (CTE) are bonded together at an elevated temperature. In this case the higher the bonding temperature, the higher the internal stress at room temperature.

Unless the bonding metals are noble metals such as Au, oxides will form on the metal layer and have a negative effect on the bonding process – making an oxide management strategy necessary. This oxide management strategy can have elements that prevent the oxide from growing using special storage conditions or coatings, removing the oxide before bonding, and heating in an inert or reducing environment. In some cases, the bonding process can also be adjusted to overcome the effect of the oxides by increasing the pressure, temperature and time for the bonding process.

There is substantial interest in bonding processes and equipment that are capable of removing the native oxide from metals and other materials prior to wafer bonding and preventing the regrowth of oxide. Equipment capable of running such a process will have several substantial advantages. The first is that it will allow materials that have been previously difficult to bond to be bonded at or near room temperature. For example, Al-Al thermo-compression wafer bonding without the removal of the native oxide has previously been demonstrated, but required a process temperature of greater than 500 ̊C, which made the process unattractive for production [3]. Low temperature Al-Al thermo-compression bonding has been demonstrated by using a special surface treatment and doing all handling in a high vacuum environment (FIGURE 2). A low-temperature Al-Al thermo-compression bonding process has the advantage of using an inexpensive readily available conductive material and increased throughput due to the low process temperature. In addition to being used to form the seal ring, this low-temperature Al-Al bonding could be used for the 3D integration of MEMS and CMOS through the use of TSVs filled with Al.

Wafer bonding 2

This surface pretreatment and handling in high vacuum enables covalent bonding of two wafers at or near room temperature with no oxide in the interface. This process has several very significant advantages. The first is that the low process temperature allows the bonding of substrates with substantially different CTE such as LiNbO3 or LiTaO3 to Si or glass. This combination of materials has drawn the interest of RF filter manufacturers due to its ability to reduce the temperature sensitivity of surface acoustic wave (SAW) devices. The second is that materials with both a CTE mismatch and a lattice mismatch can be bonded together without the development of major crystalline defects that can arise when forming the material stack by growing one crystalline layer on top of another when there is a lattice mismatch. One interesting possibility is bonding GaN to diamond for applications where large amounts of heat must be removed from the GaN device. In addition, bonding a thin layer of monocrystalline SiC to a polycrystalline SiC could offer wafers with the electrical performance of monocrystalline SiC at a cost closer to the cost of polycrystalline SiC. Another application of this bonding process is to join materials such as GaInP, GaAs, GaInAsP and GaInAs for fabrication of quadruple junction concentrated solar cells with record conversion efficiency of 44.7% [4, 5].

A high-vacuum cluster tool capable of aligned wafer bonding offers significant advantages for MEMS applications where the vacuum level in the cavity after bonding is important, such as gyroscopes and micro-bolometers (FIGURE 3) [6]. Modules can be added to the base cluster tool to enable the wafers to be baked out at a controlled elevated temperature prior to alignment and bonding in high vacuum. Getter activation can also be done in the bake-out module without loading or saturating the getter, as all subsequent steps are done in high vacuum. For devices where getter activation requires a high temperature and the other wafer has thermal limits, two bake-out chambers allow a high-temperate bake-out and getter activation while the other chamber performs a lower-temperature bake out. For example, micro-bolometers that used vanadium oxide on the detector pixel have a thermal limit of about 200 ̊C, whereas the cap wafer contains a getter that should be activated around 400 ̊C. Also, the high-vacuum capability is beneficial for producing devices that are heated and use vacuum for thermal isolation because a higher vacuum reduces the heat loss, which reduces the power required to maintain the fixed temperature.

Wafer bonding 3

This high-vacuum cluster tool allows the separation of the process steps of bake out, surface treatment, alignment and bonding as well as allows the tool to be configured to the specific application needs. Also, the cluster tool base makes it possible to develop modules for specific applications without redesigning the entire tool.

The availability of reliable, highly automated, high-volume aligned wafer bonding systems and processes was one of the keys to the growth of MEMS over the past 15 years. The next 15 years are expected to be an exciting period of advancement for aligned wafer bonding as new equipment and processes are introduced, such as the tools and processes that allow separate pre-processing of the top and bottom wafer, as well as all handling, alignment, and bonding in vacuum. The cluster tools that will be used to do this will allow for further innovation by adding new modules to the cluster tool. In addition, the ability to remove surface oxides prior to bonding, prevent these oxides from reforming, bond at or near room temperature, and have a strong, oxide-free, optically transparent, conductive bond with very low metal contamination will allow many new product innovations for RF filters, power devices and even products that have not yet been thought of.

References

1. E. F. Pabo, “Metal Based Bonding – A Potential Cost Reducer?,” in MEMS MST Industry Conference, Dresden, 2011.
2. A. Lapadatu, “High Performance Long Wave Infrared Bolometer Fabricated by Wafer Bonding,” Proc. SPIE, vol. 7660, no. 766016-12.
3. E.Cakmak,“Aluminum Thermocompression Bonding Characterization,” in MRS Fall Mtg, Boston, 2009.
4. Fraunhofer ISE, Fraunhofer ISE Teams up with EVGroup to Enable Direct Semiconductor Wafer Bonds for Next-Generation Solar Cells, Freiburg: Press Release, 2013.
5. F. Dimroth, “Wafer bonded four-junction GaInP/GaAa/GaInAsP/ GaInAs,” Progress in Photonics, vol. 22, no. 3, pp. 277-282, 2014.
6. V.Dragoi,“Wafer Bonding for Vacuum Encapsulated MEMS,” Proc. SPIE9517 Smart Sensor, Actuators, and MEMS VII, 2015.

ERIC F. PABO is Business Development Manager, MEMS; CHRISTOPH FLÖTGEN, and BERNHARD REBHAN are scientists, PAUL LINDNER is Executive Technology Director and THOMAS UHRMANN is Director Of Business Development at EV Group, St. Florian, Austria

Gartner, Inc. forecasts that 6.4 billion connected things will be in use worldwide in 2016, up 30 percent from 2015, and will reach 20.8 billion by 2020. In 2016, 5.5 million new things will get connected every day.

Gartner estimates that the Internet of Things (IoT) will support total services spending of $235 billion in 2016, up 22 percent from 2015. Services are dominated by the professional category (in which businesses contract with external providers in order to design, install and operate IoT systems), however connectivity services (through communications service providers) and consumer services will grow at a faster pace.

“IoT services are the real driver of value in IoT, and increasing attention is being focused on new services by end-user organizations and vendors,” said Jim Tully, vice president and distinguished analyst at Gartner.

Enterprises to Bolster IoT Revenue

“Aside from connected cars, consumer uses will continue to account for the greatest number of connected things, while enterprise will account for the largest spending,” said Mr. Tully. Gartner estimates that 4 billion connected things will be in use in the consumer sector in 2016, and will reach 13.5 billion in 2020 (see Table 1).

Table 1: Internet of Things Units Installed Base by Category (Millions of Units)

Category 2014 2015 2016 2020
Consumer 2,277 3,023 4,024 13,509
Business: Cross-Industry 632 815 1,092 4,408
Business: Vertical-Specific 898 1,065 1,276 2,880
Grand Total 3,807 4,902 6,392 20,797

Source: Gartner (November 2015)

In terms of hardware spending, consumer applications will amount to $546 billion in 2016, while the use of connected things in the enterprise will drive $868 billion in 2016 (see Table 2).

Table 2: Internet of Things Endpoint Spending by Category (Billions of Dollars)

Category 2014 2015 2016 2020
Consumer 257 416 546 1,534
Business: Cross-Industry 115 155 201 566
Business: Vertical-Specific 567 612 667 911
Grand Total 939 1,183 1,414 3,010

Source: Gartner (November 2015)

In the enterprise, Gartner considers two classes of connected things. The first class consists of generic or cross-industry devices that are used in multiple industries, and vertical-specific devices that are found in particular industries.

Cross-industry devices include connected light bulbs, HVAC and building management systems that are mainly deployed for purposes of cost saving. The second class includes vertical-specific devices, such as specialized equipment used in hospital operating theatres, tracking devices in container ships, and many others.

“Connected things for specialized use are currently the largest category, however, this is quickly changing with the increased use of generic devices. By 2020, cross-industry devices will dominate the number of connected things used in the enterprise,” said Mr. Tully.

 

Growing Conference Business at Extension Media Brings Experienced Events Producer Onboard

SAN FRANCISCO, October 28, 2015 – Extension Media announced today the addition of Sally L. Bixby as Senior Events Director for Extension Media’s fast-growing conference division. She will be based in the downtown Portland, Oregon office where Extension Media has editorial staff.

Ms. Bixby is an accomplished corporate events producer with nearly 16 years of in-depth experience in operations and marketing, holding senior staff positions in multiple events projects. To date, she has managed more than 450 business conferences in North America alone and produced several internationally as well. She brings to the role a significant track record of increasing event attendance, managing large- and small-scale budgets and driving lead generation for companies such as: AMD, Avnet, Curtiss-Wright, Intel, Kontron, MathWorks and more. Throughout her career, Ms. Bixby has cultivated relationships in the embedded systems, semiconductor and medical electronics industries, as well as academia and several professional organizations, building mutually beneficial and long-term business relationships.

“We are thrilled that Sally is leading the conference operations management team and will also be focusing her energy on growing the conference and exhibition side of our business, adding several events aimed at the embedded and growing IoT market segments as well as the semiconductor manufacturing and design market,” said Vince Ridley, president and founder of Extension Media. “Her professionalism and passion for delivering successful events will benefit both Extension Media and our clients. Sally’s attention to exceeding expected goals make her an ideal fit.”

“I look forward to expanding the conference business at Extension Media, connecting knowledgeable, responsive leaders and influencers,” said Ms. Bixby. “Recent experience creating a successful China-U.S. IoT Summit for a Fortune 100 company – that resulted in 120% of the attendee goal and a 10.5% budget savings – has me looking forward to helping our clients achieve impressive results.”

Prior to joining Extension Media, Ms. Bixby was an independent senior events producer running her own company, EventBelle Productions. In 2014 and 2015, she managed all operations, budgets and the VIP program for The ConFab, the preeminent semiconductor manufacturing conference and networking event for leaders and decision-makers addressing the economics of semiconductor manufacturing.

About Extension Media
Extension Media is a privately held company operating more than 50 B2B magazines, engineers’ guides, email newsletters, web sites and conferences that focus on high-tech industry platforms and emerging technologies such as: chip design, semiconductor and electronics manufacturing, embedded systems, software, architectures and industry standards.

Extension Media produces industry leading events including The ConFab, the Internet of Things Developers Conference (IoT DevCon) and the Multicore Developers Conference (Multicore DevCon), and publishes Embedded Systems Engineering, EECatalog.com, Embedded Intel® Solutions, EmbeddedIntel.com, Chip Design, ChipDesignMag.com, Solid State Technology, Solid-State.com and SemiMD.com.

Extension Media Contacts
Vince Ridley
[email protected]
415-255-0390
Sally L. Bixby
[email protected]
503-705-8651

A report that resulted from a workshop funded by Semiconductor Research Corporation (SRC) and National Science Foundation (NSF) outlines key factors limiting progress in computing—particularly related to energy consumption—and novel device and architecture research that can overcome these barriers. A summary of the report’s findings can be found at the end of this article; the full report can be accessed here.

The findings and recommendations in the report are in alignment with the nanotechnology-inspired Grand Challenge for Future Computing announced on October 20 by the White House Office of Science and Technology Policy. The Grand Challenge calls for new approaches to computing that will operate with the efficiency of the human brain. It also aligns with the National Strategic Computing Initiative (NSCI) announced by an Executive Order signed by the President on July 29.

Energy efficiency is vital to improving performance at all levels. This includes from devices and transistors to large IT systems, as well from small sensors at the edge of the Internet of Things (IoT) to large data centers in cloud and supercomputing systems.

“Fundamental research on hardware performance, complex system architectures, and new memory/storage technologies can help to discover new ways to achieve energy-efficient computing,” said Jim Kurose, the Assistant Director of the National Science Foundation (NSF) for Computer and Information Science and Engineering (CISE). “Partnerships with industry, including SRC and its member companies, are an important way to speed the adoption of these research findings.”

Performance improvements today are limited by energy inefficiencies that result in overheating and thermal management issues. The electronic circuits in computer chips still operate far from any fundamental limits to energy efficiency, and much of the energy used by today’s computers is expended moving data between memory and the central processor.

At the same time as increases in performance slow, the amount of data being produced is exploding. By 2020, an estimated 44 zettabytes of data (1 zettabyte equals 1 trillion gigabytes) will be created on an annual basis.

“New devices, and new architectures based on those devices, could take computing far beyond the limits of today’s technology. The benefits to society would be enormous,” said Tom Theis, Nanoelectronics Research Initiative (NRI) Executive Director at SRC, the world’s leading university-research consortium for semiconductor technologies.

Inspired by the neural architecture of a macaque brain, this neon swirl is the wiring diagram for a new kind of computer that, by some definitions, may soon be able to think. (Credit: Emmett McQuinn, IBM Research - Almaden)

Inspired by the neural architecture of a macaque brain, this neon swirl is the wiring diagram for a new kind of computer that, by some definitions, may soon be able to think. (Credit: Emmett McQuinn, IBM Research – Almaden)

In order to realize these benefits, a new paradigm for computing is necessary. A workshop held April 14-15, 2015 in Arlington, Va., and funded by SRC and NSF convened experts from industry, academia and government to identify key factors limiting progress and promising new concepts that should be explored. The report being announced today resulted from the workshop discussions and provides a guide to future basic research investments in energy-efficient computing.

The report builds upon an earlier report funded by the Semiconductor Industry Association, SRC and NSF on Rebooting the IT Revolution.

To achieve the Nanotechnology Grand Challenge and the goals of the NSCI, multi-disciplinary fundamental research on materials, devices and architecture is needed. NSF and SRC, both individually and together, have a long history of supporting long-term research in these areas to address such fundamental, high-impact science and engineering challenges.

Report Findings

Broad Conclusions

Research teams should address interdisciplinary research issues essential to the demonstration of new device concepts and associated architectures. Any new device is likely to have characteristics very different from established devices. The interplay between device characteristics and optimum circuit architectures therefore means that circuit and higher level architectures must be co-optimized with any new device. Devices combining digital and analog functions or the functions of logic and memory may lend themselves particularly well to unconventional information processing architectures. For maximum impact, research should focus on devices and architectures which can enable a broad range of useful functions, rather than being dedicated to one function or a few particular functions.

Prospects for New Devices

Many promising research paths remain relatively unexplored. For example, the gating of phase transitions is a potential route to “steep slope” devices that operate at very low voltage. Relevant phase transitions might include metal-insulator transitions, formation of excitonic or other electronic condensates, and various transitions involving structural degrees of freedom. Other promising mechanisms for low-power switching may involve transduction. Magnetoelectric devices, in which an external voltage state is transduced to an internal magnetic state, exemplify the concept. However, transduction need not be limited to magnetoelectric systems.

In addition to energy efficiency, switching speed is an important criterion in choice of materials and device concepts. For example, most nanomagnetic devices switch by magnetic precession, a process which is rather slow in the ferromagnetic systems explored to date. Magnetic precession switching in antiferromagnetic or ferrimagnetic materials could be one or more orders of magnitude faster. Other novel physical systems could be still faster. For example, electronic collective states could, in principle, be switched on sub-picosecond time scales.

More generally, devices based on computational state variables beyond magnetism and charge (or voltage) could open many new possibilities.

Another relatively unexplored path to improved energy efficiency is the implementation of adiabatically switched devices in energy-conserving circuits. In such circuits, the phase of an oscillation or propagating wave may represent digital state; devices and interconnections must together constitute circuits that are non-dissipative. Nanophotonic, plasmonic, spin wave or other lightly damped oscillatory systems might be well-suited for such an approach. Researchers should strive to address the necessary components of a practical engineering solution, including mechanisms for correction of unavoidable phase and amplitude errors.

Networks of coupled non-linear oscillators have been explored for non-Boolean computation in applications such as pattern recognition. Potential technological approaches include nanoelectromechanical, nanophotonic, and nanomagnetic oscillators. Researchers should strive for generality of function and should address the necessary components of a practical engineering solution, including devices, circuits, and architectures that allow reliable operation in the presence of device variability and environmental fluctuations.

Prospects for New Architectures

While appropriate circuits and higher level architectures should be explored and co-developed along with any new device concept, certain novel device concepts may demand greater emphasis on higher-level architecture. For example, hysteretic devices, combining the functions of non-volatile logic and memory, might enhance the performance of established architectures (power gating in microprocessors, reconfiguration of logic in field programmable gate arrays), but perhaps more important, they might play an enabling role in novel architectures (compute in memory, weighting of connections in neuromorphic systems, and more). As a second example, there has been great progress in recent years in the miniaturization and energy efficiency of linear and non-linear photonic devices and compact light emitters. It is possible that these advances will have their greatest impact, not in the ongoing replacement of metal wires by optical connections, but rather in enabling new architectures for computing. Computation “in the network” is one possible direction. In general, device characteristics and architecture appear to be highly entwined in oscillatory or energy-conserving systems. Key device characteristics may be inseparable from the coupling (connections) between devices. For non-Boolean computation, optimum architectures and the range of useful algorithms will depend on these characteristics.

In addition to the examples above, many other areas of architectural research might leverage emerging device concepts to obtain order of magnitude improvements in the energy efficiency of computing. Research topics might include architectures for heterogeneous systems, architectures that minimize data movement, neuromorphic architectures, and new approaches to Stochastic Computing, Approximate Computing, Cognitive Computing and more.

Lam Research Corporation (LRCX) and KLA-Tencor Corporation (KLAC) today announced that they have entered into a definitive agreement for Lam Research to acquire all outstanding KLA-Tencor shares in a cash and stock transaction. The move, unanimously approved by the boards of directors of both companies, will create a combined company with approximately $8.7 billion in pro forma annual revenue.

The combined company expects to realize $250 million in cost savings within 18 to 24 months of closing, and anticipates gaining approximately $600 million in incremental revenue by 2020 through improved differentiation of each company’s products and creation of new capabilities.

“This is just what the doctor ordered,” Srini Sundararajan, Semiconductor and Semicaps Analyst for W.R. Hambrecht + Co./Summit Research, wrote in an analysis of the move. “It removes excessive dependence of LRCX on memory and excessive dependence of KLAC on foundry/logic.”

According to the LRCX press release, “the combination will create unmatched capability in process and process control, delivering optimized results in partnership with its customers by reducing variability and accelerating yield, ultimately helping the semiconductor industry extend Moore’s Law and performance scaling generally.”

“The pairing of Lam Research and KLA-Tencor brings industry leadership in process and process control together, accelerating our capability to address our customers’ most difficult challenges as they scale to meet the market demands of lower power, higher performance, and smaller form factors,” said Martin Anstice, Lam’s president and chief executive officer. “Lam Research and KLA-Tencor’s shared commitment to collaboration and building strong customer trust, along with our respective track records of innovation, product leadership, and operational excellence, position us as a combined company to deliver the higher levels of technology differentiation and speed to solutions that are critical to our customers’ long-term success.”

“I strongly believe that this transaction represents a great outcome for all of KLA-Tencor’s key stakeholders,” said Rick Wallace, president and chief executive officer of KLA-Tencor. “The combined company will be uniquely positioned to work collaboratively with our customers to help them meet the challenges of FinFET, multi-patterning and 3D NAND development.  Given the complementary nature of the two companies’ product offerings and technologies as well as the lack of product overlap, the combination will create an industry leader with greater opportunities for our respective employees for professional development and growth. Lastly, this transaction will benefit our stockholders who will receive compelling upfront value, in addition to the opportunity to own a meaningful stake in an industry leader and participate in the upside potential created by the combination.”

According to the press release, the transaction is expected to close in mid-calendar year 2016, pending customary regulatory approvals. The transaction is also subject to customary closing conditions, including the adoption by KLA-Tencor’s stockholders of the merger agreement and the approval by Lam Research’s stockholders of the issuance of shares in the transaction. Given their complementary product lines and the industry benefits the transaction will enable, the companies believe that they will be able to obtain the requisite regulatory approvals on a timely basis.

Analyst Sundararajan agrees: “We expect minimal opposition to this deal from the various jurisdictions, rather easily handled.”

However, Robert Maire of Semiconductor Advisors thinks approval could potentially be more difficult. “We think this is going to be the obvious biggest issue after the failed AMAT & TEL merger.  We think there will likely be opposition in the semi industry but probably less so than we heard the screaming related to AMAT/TEL,” he wrote. “While maybe not overjoyed, the combination makes a lot of sense for customers and feels a lot less negative than the failed AMAT/TEL.”

According to the press release, some of the benefits the combined company expects to see are:

  • Creates Premier Semiconductor Capital Equipment Company: Strengthened platform for continued outperformance, combining Lam’s best-in-class capabilities in deposition, etch, and clean with KLA-Tencor’s leadership in inspection and metrology
  • Accelerated Innovation: Increased opportunity and capability to address customers’ escalating technical and economic challenges
  • Broadened Market Relevance: Comprehensive and complementary presence across market segments provides diversity, scale and value creating innovation opportunities
  • Significant Cost and Revenue Synergies: Approximately $250 million in expected annual on-going pre-tax cost synergies within 18-24 months of closing the transaction, and $600 million in annual revenue synergies by 2020
  • Accretive Transaction: Increased non-GAAP EPS and free cash flow per share during the first 12 months post-closing
  • Strong Cash Flow: Complementary memory and logic customer base, operational strength, and meaningful installed base revenues strengthen cash generation capability

According to Sundararajan, the move could have negative impacts for some other companies in the industry. “This deal is quite negative for Applied Materials (AMAT) and Hermes Microvision and perhaps for ASML also,” he wrote. “In the case of AMAT, their process diagnostics and control division being based in Israel does not allow of meshing of capabilities, and product synergies really don’t exist.  In the case of Hermes Microvision, since etch is the pre-dominant user of e-beam inspection due to testing of contacts, a combination of KLAC and LRCX with both e-beam and etch capabilities can be lethal.”

Maire also foresees difficulties for competitors: “The combined LAM and KLA creates a powerhouse in the semicap industry, which is looking a lot more like a duopoly.”

Lam president and CEO Anstice concluded, “We have tremendous respect for the company KLA-Tencor employees have built over nearly 40 years — their culture, technology, and operating practices. I have no doubt that our combined values, focus on the customer, and complementary technologies will create a trusted leader in our industry, capable of creating significant opportunity for profitable growth and in turn delivering tremendous value to all of our stakeholders. This is the right time for the right combination in our industry.”