Tag Archives: letter-pulse-business

Lumileds today announced the appointment of Dr. Jonathan Rich as Chief Executive Officer. Dr. Rich most recently served as Chairman and CEO of Berry Global, Inc., a Fortune 500 specialty materials and consumer packaging company, from 2010 to 2018. Dr. Rich succeeds Mark Adams, who is stepping down as CEO and from the board of directors but will remain in an advisory role to the company.

“I am very pleased to be joining Lumileds and am looking forward to building on the company’s differentiated lighting technology foundation to increase the value we can deliver to customers across a broad set of industries,” said Dr. Rich. “The opportunity for lighting innovation to make a positive impact on safety and sustainability is tremendous.”

Before Dr. Rich held the position of Chairman and CEO of Berry Global, he was president and CEO at Momentive, a specialty chemical company headquartered in Albany, New York. Prior to that, he held positions with Goodyear Tire & Rubber Company, first as President of the Global Chemicals business and subsequently as President of Goodyear’s North American Tire Division. Dr. Rich spent his formative years at General Electric, first as a research scientist at GE Global Research and then in a series of management positions with GE Plastics. He received a Bachelor of Science degree in chemistry from Iowa State University and a Ph.D. in chemistry from the University of Wisconsin-Madison. He has been a visiting lecturer at Cornell University Johnson School of Business since 2017.

“Mark Adams has made significant contributions to Lumileds during his tenure, leading the transition to an independent company and cultivating a culture of innovation and customer focus,” said Rob Seminara, a senior partner at Apollo and chairman of the board of Lumileds. “On behalf of the Board of Directors of Lumileds, we would like to thank him for his service to the company and wish him the very best in his future endeavors. We are very excited Jon will be joining Lumileds to drive the next phase of innovation and growth and we look forward to working with him again.”

Added Adams: “It has been a great experience leading Lumileds’ transition to an independent company that is focused on delivering lighting solutions that truly make a positive impact in the world. I would like to thank the employees of Lumileds and the Apollo team for their support and wish the company much success in the future.”

By Emmy Yi

Technologies promising huge growth such as Artificial intelligence (AI), 5G, machine learning, high-performance computing, and telematics are ratcheting up pressure on semiconductor manufacturers in the race among product makers to accelerate time to market and capture share. To support rapidly evolving end markets for these and other technologies that are key drivers of industry growth, chipmakers are boosting semiconductor performance, producing more wafer sizes and improving manufacturing efficiency.

At the same time, chip manufacturers must enable unprecedented end-product reliability for exploding markets such as automotive and healthcare markets where, with lives at stake, products can’t afford even the slightest lapse in reliability. In response, chip suppliers are retooling their manufacturing processes to support 3D stacking, package-level integration and miniaturization. But they must do more. Bringing high efficiency to all phases of manufacturing including design and materials is the new imperative.

The key to quality management is not in the traditional post-production testing and damage control but in prevention. Delivering the highest quality and reliability must start in the earliest stages of production with manufacturing and testing design – an approach that reduces not only the cost of downstream testing but minimizes product defects that can damage a supplier’s credibility and lead to lost business.

To that end, SEMI has launched its Quality Assurance Task Force consisting of representatives from industry leaders such as Infineon, NXP, TSMC, UMC, ASE, Unimicron, and GCE. The task force’s goal is to establish quality requirements spanning the supply chain to meet new, higher reliability standards and help safeguard Taiwan’s competitive edge in the global microelectronics industry. Meeting for the first time earlier this month, the companies exchanged ideas for improving quality management in semiconductor manufacturing and ultimately deliver the reliability the market needs.

The company representatives unanimously agreed that the first step is to ensure a QA-friendly environment with quality requirements for various stages of chipmaking ranging from design, manufacturing, packaging and testing to even PCB and CCL production. The SEMI Quality Assurance Task Force this year plans to build on its current membership by enlisting companies from various fields to address critical areas of reliability including statistical process control, surface-mount-technology-based board level reliability control, and 0 dppm quality control for automotive chips.

SEMI Quality Assurance Task Force consists of leading companies in the industry, including Infineon, NXP, TSMC, UMC, ASE, Unimicron, and GCE.

“SEMI’s comprehensive platform of exhibitions, programs, forums, trade meetings and matchmaking events is instrumental in bringing together key industry players to enhance quality management practices and meet the growing reliability requirements of the end markets we serve,” said Terry Tsao, chief marketing officer at SEMI and president of SEMI Taiwan. “The Quality Assurance Task Force is a shining example of how SEMI continues to support the crucial role of Taiwan’s semiconductor industry in the international community.”

For more information about the SEMI Quality Assurance Task Force or to become a member, please contact Emmy Yi at [email protected].

Emmy Yi is a marketing specialist at SEMI Taiwan.  

The IBM (NYSE:IBM) board of directors today elected Admiral Michelle J. Howard to the board, effective March 1, 2019.

Admiral Howard, 58, is a former United States Navy officer and the first woman to become a four-star admiral. She was the first African-American woman to command a ship in the United States Navy (the USS Rushmore). Admiral Howard was also the first African-American and the first woman to be named Vice Chief of Naval Operations when she was appointed to that role by the President in July 2014. She retired in December 2017 as the commander of United States Naval Forces in Europe and Africa and the Allied Joint Forces Command in Naples, Italy, after a distinguished 35-year career.

Admiral Howard is currently the J.B. and Maurice C. Shapiro Visiting Professor of International Affairs at George Washington University, where she teaches in the areas of cybersecurity and international policy.

Ginni Rometty, IBM chairman, president and chief executive officer, said: “Admiral Howard is a groundbreaking leader with a distinguished career in military service. Her leadership skills, international perspective and extensive experience with cybersecurity and information technology will make her a great addition to the IBM board.”

Admiral Howard graduated from the United States Naval Academy in 1982 and from the United States Army’s Command and General Staff College in 1998 with a master’s degree in military arts and sciences. She was the first female graduate of the Naval Academy to be promoted to flag officer.

She has received honorary degrees from Rensselaer Polytechnic Institute, American Public University and North Carolina State University, and is the recipient of many honors, including the NAACP Chairman’s Image Award, the French Legion of Honor and the KPMG Inspire Greatness Award.

Qualcomm Incorporated and Samsung Electronics have named two executives to join the Silicon Integration Initiative board of directors. Si2 is a global research and development joint venture that provides standard interoperability solutions for integrated circuit design tools.

Udi Landen is Vice President of Engineering at Qualcomm Technologies, Inc. In his current role, Landen provides technical, management and business leadership for engineering teams at various international sites that focus on mobile and computing design enablement and CAD methodology automation roadmaps. Prior to joining Qualcomm in 2013, Landen held executive and leadership roles at Altera Corp., Mercury Interactive and Cadence Design Systems. He is a graduate of the Technion, Israel Institute of Technology.

Seungbum Ko is Vice President of the Samsung Electronics Design Technology Team. He is responsible for all memory design methodology activities for the Samsung memory division, and also manages the relationships between memory division and EDA vendors. A 21-year veteran at Samsung, Ko’s expertise includes development of SDRAM, DDR, DDR2, DDR3, LPDD2, LPDDR3 and LPDDR4 devices. His internal honors include the Proud Samsung Award, the Jang Young-sil Award, and the Memory Award.

Landen and Ko were approved by a vote of the Si2 board, which represents leading semiconductor manufacturers and foundries, fabless companies, and EDA software providers.

Qualcomm and Samsung are active members of the Si2 OpenAccess and Compact Model Coalitions. OpenAccess is a standard application programming interface and reference source code for the design database used by all major chip design software suppliers. It provides end-user chip designers with inter-tool interoperability. Si2 standard, compact SPICE simulation models selected and supported by the Compact Model Coalition are used by every major circuit simulator in the semiconductor industry.

Sigma Labs, Inc., a provider of quality assurance software under the PrintRite3D® brand, was named a member of the Manufacturing Technology Centre (MTC) located at Ansty Park, Coventry, UK. Membership of the MTC enables Sigma Labs to share and provide expertise and solutions for a number of the Centre’s projects and also network with the Centre’s existing members, including some of the UK’s leading aerospace companies.

The MTC was established as part of the UK government’s national manufacturing strategy with the aim of bridging the gap between academic discoveries and real-time industry innovation. It houses some of the most advanced manufacturing equipment in the world, providing integrated manufacturing system solutions for customers across sectors that include automotive, aerospace, rail, construction/civil engineering, oil & gas and defense.

John Rice, CEO of Sigma Labs, said, “The MTC manufacturing research center model uses public and private funding to bring academia and industry together, to pursue challenging, industrially relevant development projects. As a member of the MTC, Sigma Labs will extend its industry footprint further into the exciting research and commercialization in additive manufacturing today. With Europe at the forefront of many innovative and major developments in the metal AM industry, we believe this agreement, our second major research alliance with a European center of excellence, holds great promise for us and the future of AM. We look forward to interacting with the other member companies in the MTC, and particularly to collaborating with researchers at the National Centre for Additive Manufacturing to demonstrate the capabilities and potential of the PrintRite3D® INSPECT®technology.”

MTC technology director Ken Young said, “I am delighted to welcome Sigma Labs to the MTC community. Quality assurance in additive manufacturing is a critical topic that requires significant attention. Gaining insights into the part quality during the additive manufacturing build process reduces effort for post-build inspection and ultimately provides the foundation for closed loop process control for improved robustness. Sigma Labs’ advanced capabilities on this topic will provide expertise for a number of the MTC’s projects and network with our membership base, focusing on qualification and certification of the additive manufacturing process.”

Sigma Labs will install its PrintRite3D® INSPECT® In-Process Quality Monitoring and Control technology at the MTC’s National Centre for Additive Manufacturing and participate in various member-sponsored programs with a focus on qualification and certification of the additive manufacturing process.

PrintRite3D® INSPECT®, which comprises software for in-process inspection of metallurgical properties, uses sensor data and establishes in-process metrics for each product’s design specifications and metal. It provides manufacturing engineers with information in real time that can permit them to avert a part that is beginning to display discontinuities from going on to become a rejected part. INSPECT® also generates quality reports based on rigorous statistical analysis of manufacturing process data and allows for interrogation of suspect part data that can be used for process improvement and optimization.

The Electronic System Design Alliance, a SEMI Strategic Association Partner, today opened nominations for member company executives to serve on the ESD Alliance Governing Council for the next two-year term.

Elections, normally on a two-year cycle, were postponed in 2018 as the ESD Alliance became a SEMI Strategic Alliance Partner. During this cycle, up to nine members will be elected to a two-year term.

Current Governing Council members are:

  • Simon Segars, chief executive officer (CEO) of Arm Holdings
  • Lip-Bu Tan, president and CEO from Cadence Design Systems
  • Dean Drako, IC Manage’s president and CEO
  • Wally Rhines, CEO emeritus at Mentor, a Siemens Business
  • John Kibarian, president and CEO from PDF Solutions
  • Grant Pierce, CEO of Sonics
  • Aart de Geus, Synopsys’ chairman and co-CEO
  • Bob Smith, executive director of the ESD Alliance

Executives from member companies can nominate themselves or be nominated by someone from within a member company. Forms are available on the ESD Alliance website. Candidate statements will be posted on the website as they are received, with elections in mid-April. Results will be announced in May.

The Governing Council’s charter is to provide input and steer the direction of the organization. The ESD Alliance’s board of directors became the Governing Council when the ESD Alliance transitioned into SEMI as a SEMI Strategic Association Partner.

“Participating on the Governing Council offers executives a chance to help shape our industry, especially as the ESD Alliance’s global footprint expands and we increase our initiatives with the launch of ES Design West,” remarks Smith. “It’s a satisfying experience and we encourage executives from the electronic system and semiconductor design ecosystem to get involved.”

The Inaugural ES Design West

The ESD Alliance will host ES Design West co-located with SEMICON West 2019 at San Francisco’s Moscone Center, July 9-11. Dedicated to promoting the commercial successes of the Design and Design Automation Ecosystem™, ES Design West is the only event in North America that links the electronic system and semiconductor design community with the electronic product manufacturing and supply chain. For more information, visit the ES Design West 2019 website.

About the Electronic System Design Alliance

The Electronic System Design (ESD) Alliance, a SEMI Strategic Association Partner representing members in the electronic system and semiconductor design ecosystem, is a community that addresses technical, marketing, economic and legislative issues affecting the entire industry. It acts as the central voice to communicate and promote the value of the semiconductor design ecosystem as a vital component of the global electronics industry.

The eBeam Initiative, a forum dedicated to the education and promotion of new semiconductor manufacturing approaches based on electron beam (eBeam) technologies, today announced that ASML Holding N.V. (ASML) has joined the eBeam Initiative. As one of the world’s leading manufacturers of chip-making equipment, ASML will provide its valuable perspective to the educational activities of the eBeam Initiative within the semiconductor photomask and lithography supply chain.

In 2009, the eBeam Initiative was launched to provide a strong voice and educational platform for eBeam technology within the photomask and semiconductor design and manufacturing community. Instrumental to its efforts, the eBeam Initiative leverages its annual perceptions and mask maker surveys to confirm key trends to help guide the industry forward in supporting the introduction of new eBeam technologies. In achieving a new milestone with 50 member companies, the eBeam Initiative continues its charter to enable industry collaboration to advance the eBeam technology ecosystem.

Today, during the SPIE Advanced Lithography Conference being held at the San Jose Convention Center, the eBeam Initiative will host its annual luncheon event featuring presentations from Dr. Yu Cao, senior vice president of ASML; Dr. Harry Levinson, principal at HJL Lithography; and Dr. Leo Pang, chief product officer and executive vice president at D2S. These industry luminaries will cover several eBeam-related topics key to the future success of photomask manufacturing and lithography, including: computations for EUV lithography; GPU-accelerated simulation enabling applied deep learning for photomasks; and applications of machine learning in computational lithography. Copies of these presentations will be made available after February 26 on the eBeam Initiative website at www.ebeam.org.

ASML will provide valuable perspectives to the eBeam Initiative,” stated Aki Fujimura, CEO of D2S, managing company sponsor of the eBeam Initiative. “ASML has significant expertise in modeling and simulation of the lithography process as well as eBeam metrology and inspection, the latter through the acquisition of HMI. This offers expanded insights for eBeam mask writing around metrology and inspection, as well as computational lithography. To continue to develop new innovations in eBeam technology, the need for collaborative industry efforts like those of the eBeam Initiative have never been more important. We are very pleased to welcome ASML as our newest contributor to our mission to provide industry collaboration for new semiconductor manufacturing approaches that accelerate the use of eBeam technology.”

Soitec (Euronext Paris), a designer and manufacturer of innovative semiconductor materials, today announced it is the first materials supplier to join the China Mobile 5G Innovation Center (“Center”), an international alliance chartered to develop 5G communication solutions for China, the world’s largest wireless communications market with 925M mobile subscribers. Both silicon and non-silicon engineered substrates, in which Soitec is the global leader, are essential in bringing to mass deployment 5G mobile communications for applications including self-driving cars, industrial connectivity and virtual reality.

Founded by China Mobile, the world’s largest operator, the Center aims to accelerate the development of 5G by establishing a cross-industry ecosystem, setting up open labs to create new products and applications, and fostering new business and market opportunities. As the first materials supplier to join the Center, Soitec brings its long-standing worldwide partnerships with R&D Centers, fabless semiconductor companies and foundries.

With ongoing investments and advances in capabilities, assets and SOI technology, Soitec’s RF portfolio is 5G-ready and designed to support deployment of 5G solutions across different regions. Soitec’s portfolio features cost-effective SOI and compound material substrates spanning advanced and established technology nodes optimized to balance performance, power efficiency and integration, in less space. Soitec will further support China Mobile through access to Soitec’s engineered substrate development ecosystem.

“As China Mobile works to bring 5G to market, Soitec’s participation in the China Mobile 5G Innovation Center is focused on accelerating the creation and delivery of market-leading 5G material solutions,” said Thomas Piliszczuk, Executive Vice President of Global Strategy for Soitec. “This is a unique opportunity for Soitec to engage with the world’s largest mobile operator and its ecosystem partners. Engineered substrates give foundries, fabless semiconductor companies and IDMs (integrated device manufacturers) the means to improve performance, power, area and cost (PPAC) while also enabling new applications.”

Soitec engineered substrates have been critical in deployment of 4G communication. RF-SOI material is used in 100 percent of smart phones manufactured today and its surface is growing with each new product generation. Also, FD-SOI brings unique RF performance, making it an ideal solution for many applications including mmWave communications such as 5G transceivers as well as enabling full RF and ultra-low-power computing integration for IoT.

North America-based manufacturers of semiconductor equipment posted $1.89 billion in billings worldwide in January 2019 (three-month average basis), according to the January Equipment Market Data Subscription (EMDS) Billings Report published today by SEMI. The billings figure is 10.5 percent lower than the final December 2018 level of $2.10 billion, and is 20.8 percent lower than the January 2018 billings level of $2.37 billion.

“January billings of North American equipment manufacturers declined 10 percent when compared to the prior month,” said Ajit Manocha, president and CEO of SEMI. “Weakening smartphone demand and high inventory levels are eroding capital equipment investments, especially by memory suppliers.”

The SEMI Billings report uses three-month moving averages of worldwide billings for North American-based semiconductor equipment manufacturers. Billings figures are in millions of U.S. dollars.

Billings
(3-mo. avg.)
Year-Over-Year
August 2018
$2,236.8
2.5%
September 2018
$2,078.6
1.2%
October 2018
$2,029.2
0.5%
November 2018
$1,943.6
-5.3%
December 2018 (final)
$2,104.0
-10.5%
January 2019 (prelim)
$1,896.4
-20.8%

Source: SEMI (www.semi.org), February 2019

SEMI publishes a monthly North American Billings report and issues the Worldwide Semiconductor Equipment Market Statistics (WWSEMS) report in collaboration with the Semiconductor Equipment Association of Japan (SEAJ). The WWSEMS report currently reports billings by 24 equipment segments and by seven end market regions. SEMI also has a long history of tracking semiconductor industry fab investments in detail on a company-by-company and fab-by-fab basis in its World Fab Forecast and SEMI FabView databases. These powerful tools provide access to spending forecasts, capacity ramp, technology transitions, and other information for over 1,000 fabs worldwide. For an overview of available SEMI market data, please visit www.semi.org/en/MarketInfo.

The 2019 FLEXI Awards has recognized outstanding accomplishments in the Flexible Hybrid Electronics (FHE) industry in 2018. Presented yesterday at the 18th annual FLEX 2019 Conference and Exhibition in Monterey, California, the awards spotlight leaders in the categories of R&D Achievements, Product Innovation and Commercialization, Technology & Education Leadership, and Industry Leadership.

R&D Achievement Award Recipient – FLEXI winner FlexEnable developed the world’s first industrially-proven, low-cost flexible transistor technology, allowing displays to be built on plastic. Now entering mass production, this organic LCD (OLCD) technology will soon be used in displays for applications including Smart home appliances, automotive and digital signage.

“We are honored to receive this prestigious award for FlexEnable’s groundbreaking plastic organic LCD (OLCD) technology,” said FlexEnable CEO Chuck Milligan. “The award comes at a very exciting time for the company as OLCD enters mass production to deliver a new freedom in product design and novel display applications.”

Product Innovation Award Recipient American Semiconductor won the FLEXI for the innovative product design of FleX NFC, the industry’s first flexible IC to support NFC communication and new ways to connect to the Internet of Things (IoT).

“We sincerely appreciate this recognition from SEMI-FlexTech and are excited about collaborating with our Semiconductor-on-Polymer Chip Scale Packaging customers,” said Richard Ellinger, VP of Sales and Marketing of American Semiconductor. “Our high-functioning, zero-profile, flexible, durable ICs will enable Smart products in 2019 and beyond.”

Technology Champion & Leadership in Education Award Recipient – Mark Poliks, Empire Innovation Professor of Engineering and Director of the Center for Advanced Microelectronics Manufacturing at Binghamton University, won a FLEXI for advancing flexible and printed electronics and for his contributions to the FLEX conference including participating in Calls for Abstracts, leading a Tech Course, and serving on every SEMI-FlexTech committee.