Tag Archives: letter-semi-business

KLA-Tencor Corporation announced two new defect inspection products at SEMICON West this week, addressing two key challenges in tool and process monitoring during silicon wafer and chip manufacturing at the leading-edge logic and memory nodes. The VoyagerTM1015 system offers new capability to inspect patterned wafers, including inspection in the lithography cell immediately after development of the photoresist, when the wafer can be reworked. The Surfscan SP7 system delivers unprecedented defect detection sensitivity on bare wafers, smooth and rough films—essential for manufacturing silicon substrates intended for the 7nm logic and advanced memory device nodes, and equally critical for earliest detection of process issues during chip manufacturing. Together the two new inspection systems are designed to accelerate time-to-market for innovative electronic devices by capturing defect excursions at their source.

“With leading IC technologies, wafer and chip manufacturers have very little room for error,” said Oreste Donzella, Senior Vice President and Chief Marketing Officer at KLA-Tencor. “Critical dimensions of next-generation chips are so small that the minimum size of a yield-killing defect on bare silicon wafers or blanket-film monitor wafers has shrunk below the detection limit of available tool monitoring systems. A second key gap in the defect detection space has been reliably detecting yield-killing defects introduced early in the lithography process, whether 193i or EUV. Our engineering teams have developed two new defect inspection systems—one for unpatterned/monitor wafers and one for patterned wafers—that provide key capability for engineers to address these difficult defect issues rapidly and accurately.”

The Surfscan SP7 unpatterned wafer defect inspection system achieves its high sensitivity through innovations in illumination and sensor architecture that produce decades of improvement in resolution over that of the previous-generation Surfscan tool. This leap in resolution is the key to detection of the smallest killer defects. The new resolution realm also enables real-time classification of many defect types, such as particles, scratches, slip lines and stacking faults—without removing the wafer from the Surfscan tool or affecting the system throughput. At the same time, control over peak power density allows the Surfscan SP7 to inspect thin, delicate EUV photoresist materials.

The Voyager 1015 patterned wafer defect inspection system closes a long-standing industry gap in after-develop inspection (ADI), leveraging novel illumination, collection and sensor architecture. This revolutionary laser scattering inspection system drives sensitivity forward while reducing nuisance signals—and delivers results substantially sooner than the next-best alternatives. Like the new Surfscan SP7, the Voyager system features exceptional control of power density, allowing inline inspection of delicate photoresist materials after develop. High throughput capture of critical defects in the litho cell and other modules of the fab allows process issues to be identified and rectified rapidly.

Gases and engineering company The Linde Group (Booth #5644 in the North hall) is investing in expansion of existing products to improve business continuity planning while adding new products with improved purity to meet the growing needs of sub-10nm semiconductor factories and advanced flat panel manufacturers. Linde remains the global leader in rare gas and laser mixture production technology.

Linde has expanded capacity for fluorine/nitrogen mixtures at Medford, Oregon for etching and chamber cleaning applications.

  • This allows both low- and high-pressure fluorine and nitrogen mixture production.
  • On-site high-purity fluorine production minimizes third-party supply issues.
  • The product line is expanding to include fluorine/argon mixtures in place with tri-mix capability(fluorine/argon/nitrogen) later in 2018.
  • This facility complements fluorine mixture production at the Linde Alpha, New Jersey facility.

Linde is also developing deposition precursors and etch gases: silicon precursors, digermanium mixtures, high K and metal gate precursors, isotope gases and etch gases such as CF3I (trifluoroiodomethane)and custom fluorinated silane.

“Linde’s story this year is continued investment for customers,” said Paul Stockman, Linde Electronics’ Head of Market Development. “What we’re doing in the US mirrors what we’re doing globally, which is investing in new materials and new production capabilities and locating them close to where our customers are. We have uniform processes and multiple sites of production, and looking to optimize supply chains for our customers.”

“Linde recognizes that our customers continue to make investments in new processes and technologies.  We are committed to investing with them for the materials they will require now and in the future”, states Matt Adams, Head of Sales and Marketing for Linde Electronics and Specialty Products.

The Mid-Year Update to the 2018 McClean Report revises IC Insights’ worldwide economic and IC industry forecasts through 2022 that were originally presented in The 2018 McClean Report issued in January.

The Figure shows that IC Insights forecasts that China-headquartered companies will spend $11.0 billion in semiconductor industry capex in 2018, which would represent 10.6% of the expected worldwide outlays of $103.5 billion.  Not only would this amount be 5x what the Chinese companies spent only three years earlier in 2015, but it would also exceed the combined semiconductor industry capital spending of Japan- and Europe-headquartered companies this year.

Since adopting the fab-lite business model, the three major European producers have represented a very small share of total semiconductor industry capital expenditures and are forecast to account for only 4% of global spending in 2018 after representing 8% of worldwide capex in 2005.  Although there may be an occasional spike in capital spending from European companies (e.g., the surge in spending from ST and AMS in 2017), IC Insights believes that Europe-headquartered companies will represent only 3% of worldwide semiconductor capital expenditures in 2022.

It should be noted that several Japanese semiconductor companies have also transitioned to a fab-lite business model (e.g., Renesas, Sony, etc.).  With strong competition reducing the number and strength of Japanese semiconductor manufacturers, the loss of its vertically integrated businesses and thus missing out on supplying devices for several high-volume end-use applications, and its collective shift toward fab-lite business models, Japanese companies have greatly reduced their investment in new wafer fabs and equipment. In fact, Japanese companies are forecast to represent only 6% of total semiconductor industry capital expenditures in 2018, a big decline from the 22% share they held in 2005 and an even more precipitous drop from the 51% share they held in 1990.

Although China-headquartered pure-play foundry SMIC has been part of the list of major semiconductor industry capital spenders for quite some time, there are four additional Chinese companies that are forecast to become significant semiconductor industry spenders this year and next—memory suppliers XMC/YMTC, Innotron, JHICC, and pure-play foundry Shanghai Huali.  Each of these companies is expected to spend a considerable amount of money equipping and ramping up their new fabs in 2018 and 2019.

Due to the increased spending by startup China-based memory manufacturers, IC Insights believes that the Asia-Pac/Others share of semiconductor industry capital spending will remain over 60% for at least the next couple of years.

Leti, a research institute of CEA Tech, and Soitec, a designer and manufacturer of innovative semiconductor materials, today announced a new collaboration and five-year partnership agreement to drive the R&D of advanced engineered substrates, including SOI and beyond. This agreement brings the traditional Leti-Soitec partnership to a whole new dimension and includes the launch of a world-class prototyping hub associating equipment partners to pioneer with new materials, The Substrate Innovation Center will feature access to shared Leti-Soitec expertise around a focused pilot line. Key benefits for partners include access to early exploratory sampling and prototyping, collaborative analysis, and early learning at the substrate level, eventually leading to streamlined product viability and roadmap planning at the system level.

Leading chip makers and foundries worldwide use Soitec products to manufacture chips for consumer applications targeting performance, connectivity, and efficiency with extremely low energy consumption. Applications include smart phones, data centers, automotive, imagers, and medical and industrial equipment, but this list is always growing, along with the need for flexibility to explore new applications starting at the substrate level. At the Substrate Innovation Center, located on Leti’s campus, Leti and Soitec engineers will explore and develop innovative substrate features, expanding to new fields and applications with a special focus on 4G/5G connectivity, artificial intelligence, sensors and display, automotive, photonics, and edge computing.

“Material innovation and substrate engineering make entire new horizons possible. The Substrate Innovation Center will unleash the power of substrate R&D collaboration beyond the typical product road maps, beyond the typical constraints,” said Paul Boudre, Soitec CEO. “The Substrate Innovation Center is a one-of-a-kind opportunity open to all industry partners within the semiconductor value chain.”

Whereas a typical manufacturing facility has limited flexibility to try new solutions and cannot afford to take risks with prototyping, the mission of the Substrate Innovation Center is to become the world’s preferred hub for evaluating and designing engineered substrate solutions to address the future needs of the industry, inclusive of all the key players, from compound suppliers to product designers. Using state of the art, quality-controlled clean room facilities, and the latest industry-grade equipment and materials, Leti and Soitec engineers will conduct testing and evaluation at all levels of advanced substrate R&D.

“Leti and Soitec’s collaboration on SOI and differentiated materials, which extends back to Soitec’s launch in 1992, has produced innovative technologies that are vital to a wide range of consumer and industrial products and components,” said Emmanuel Sabonnadière, Leti CEO. “This new common hub at Leti’s campus marks the next step in this ongoing partnership. By jointly working with foundries, fabless, and system companies, we provide our partners with a strong edge for their future products.”

IC Insights will release its 200+ page Mid-Year Update to the 2018 McClean Report later this month. The Mid-Year Update revises IC Insights’ worldwide economic and IC industry forecasts through 2022 that were originally published in The 2018 McClean Report issued in January of this year.

Figure 1 compares the estimated required capex needed to increase NAND flash bit volume shipments 40% per year, sourced from a chart from Micron’s 2018 Analyst and Investor Event in May of this year, versus the annual capex targeting the NAND flash market segment using IC Insights’ data. As shown, Micron believes that the industry capex needed to increase NAND flash bit volume production by 40% more than doubled from $9 billion in 2015 to $22 billion only two years later in 2017! This tremendous surge in required capital was driven by the move to 3D NAND from planar NAND since 3D NAND requires much more fab equipment and additional cleanroom space to process the additional layers of the device as compared to planar NAND.

Most of the five major NAND flash suppliers have stated that they believe that NAND bit volume demand growth will average about 40% per year over the next few years. Figure 1 shows that the capex needed to support a 40% increase in NAND bit volume shipments was exceeded by 27% last year and is forecast to exceed the amount needed by another 41% this year (NAND bit volume shipments increased 41% in 2017 but 1H18/1H17 bit volume shipments were up only 30%). As a result, it is no surprise that NAND flash prices have already softened in early 2018. Moreover, the pace of the softening is expected to pick up in the second half of this year and continue into 2019.

Historical precedent in the memory market shows that too much spending usually leads to overcapacity and subsequent pricing weakness. With Samsung, SK Hynix, Micron, Intel, Toshiba/Western Digital/SanDisk, and XMC/Yangtze River Storage Technology all planning to significantly ramp up 3D NAND flash capacity over the next couple of years (with additional new Chinese producers possibly entering the market), IC Insights believes that the risk for significantly overshooting 3D NAND flash market demand is very high and growing.

Figure 1

By Pete Singer

The importance of data gathered and analysed in the subfab – the place where vacuum pumps, abatements systems and other supporting equipment operates – is growing. Increasingly, manufacturers are finding that these systems have a direct impact on yield, safety, cost-of-ownership and ultimately capacity and cycle time.

“The subfab is getting recognized evermore as a contributor to the overall fab effectiveness, particularly when the fab is looking to get last fractions of a percentage of performance efficiencies,” notes Alan Ifould, Global Market Sector Manager at Edwards.

There’s also keen interest in tying this data with process data from the fab, the MES (manufacturing execution software) system and ultimately the ERP (enterprise resource planning) system as part of today’s efforts to understand and control the entire data ecosystem.

Subfab data systems provide a volume of data related not only to vacuum and abatement equipment, but also upstream, to the foreline, gate valve and chamber. Of special interest is the monitoring of vacuum faults, which can negatively impact quality, cost and safety. “A vacuum fault is anything that results in a loss of a degradation in vacuum,” said Ifould.

Ideally, faults – and the overall quality of the vacuum system — are proactively managed. Potential faults are detected days or even weeks before they occur and addressed during regularly scheduled tool maintenance, for example. “We’re finding that our ability to detect vacuum faults in the wider vacuum system comes very much to the fore,” Ifould said.

Data seen at the pump or abatement can help determine the size and location of vacuum system leaks. Algorithms based around vacuum science and thermodynamics can lead engineers to problematic leaks that, over time, can have a significant impact on yield.

Often, the first reaction to a loss in chamber pressure is to blame the vaccum pump, Ifould said. Vacuum pumps can be swapped out in about 4 hours, but if the process tool goes down while in operation, it could be in excess of 48 hours to get everything back up and running. Even then, it might be something other than the pump that caused the initial problem, such as a leak in a gate valve or in the foreline. It’s essential to accurately diagnose the problem(s) at the onset, but that can be a challenge: “You only need a small leak in a gate valve, and you immediately have problems with maintaining the base pressure in the chamber. The pump may become overloaded because of the additional gas load caused by leaks,” he said.

Edwards has developed a verity of new data collection and analysis strategies aimed at improving such decision making. The SMA (Site Management Application) is latest addition to data analytics portfolio, focused on subfab. As shown in Figure, SMA is designed to provide insight into maintenance activities, equipment performance and fault resolution. It is implemented in parallel with the company’s VTPS (Vacuum Technique Production System), which drives standard work and behaviors based on LEAN principles and best known methods.

Edwards is also working on what it calls “sensorization” where, for example, the use of vibration analytics can detect anomalies otherwise missed by traditional monitoring techniques.

Ifould said the SMA and sensorization helps improve the stability of fab operations by bringing veracity to the data. “It’s one thing to have a volume of data, but the data itself is of little value unless it’s of good quality,” he said. “When we’re looking at equipment operations and the way you have operators involved, being able to bring discipline to the behaviors of those operators to the task that they perform brings discipline to the data and improves the veracity of the data,” he said.

He said Edwards has been using this approach to “great effect” over the last year. “We can help our customers see where some of their maintenance practices need to be improved to eliminate some of the sources of error that cause some of those vacuum faults,” he said.

More recently, Edwards is looking to move beyond a simple predictive maintenance model (PdM) to a model that include quality (PdMQ). The model includesnot only the condition of the subfab equipment, but of the quality of the vacuum it provides, and therefore the process it supports. “We’re not just considering the condition of the subfab equipment and being able to predict when that may fail, but considering the quality of the vacuum that system actually provides.”

Harnessing data from all parts of the fab ecosystem is essential, Ifould notes, but has its challenges, especially when it comes to IP. “In an ideal world, we would like to receive contextualized data which allows us to relate what’s happening in the vacuum pump into the process itself. That becomes challenging because of the IP sensitivity,” he said.

Site Management Application, the latest addition to Edwards’ data analytics portfolio, is designed to provide insight into maintenance activities, equipment performance and fault resolution.

Solid State Technology and SEMI today announced the recipient of the 2017 “Best of West” Award – BISTel for its Dynamic Fault Detection (DFD®) system. The award recognizes important product and technology developments in the electronics manufacturing supply chain. Held in conjunction with SEMICON West, the largest and most influential electronics manufacturing exposition in North America, the Best of West finalists were selected based on their financial impact on the industry, engineering or scientific achievement, and/or societal impact.

BISTel’s Dynamic Fault Detection (DFD®) system offers full trace data coverage and eliminating the need for timely and costly modeling and set up. DFD® is also a bridge to smart factory manufacturing because it integrates seamlessly to legacy FDC systems meaning customers can access the most comprehensive, and accurate fault detection system on the market. (South Hall Booth 1811).

“There’s a big emphasis in smart manufacturing at this year’s SEMICON West,” said Pete Singer, Editor-in-Chief of Solid State Technology. “The BISTel dynamic fault detection system is a great example of a fantastic smart tool now available to semiconductor manufacturers.”

About SEMI

SEMI® connects over 2,000 member companies and 1.3 million professionals worldwide to advance the technology and business of electronics manufacturing. SEMI members are responsible for the innovations in materials, design, equipment, software, devices, and services that enable smarter, faster, more powerful, and more affordable electronic products. FlexTech, the Fab Owners Alliance (FOA) and the MEMS & Sensors Industry Group (MSIG) are SEMI Strategic Association Partners, defined communities within SEMI focused on specific technologies. Since 1970, SEMI has built connections that have helped its members prosper, create new markets, and address common industry challenges together. SEMI maintains offices in Bangalore, Berlin, Brussels, Grenoble, Hsinchu, Seoul, Shanghai, Silicon Valley (Milpitas, Calif.), Singapore, Tokyo, and Washington, D.C.  For more information, visit www.semi.org and follow SEMI on LinkedIn and Twitter.

About Extension Media

Extension Media is a publisher of over 20 business-to-business magazines (including Solid State Technology), resource catalogs, newsletters and web sites that address high-technology industry platforms and emerging technologies such as chip design, embedded systems, software and infrastructure, intellectual property, architectures, operating systems and industry standards. Extension Media publications serve several markets including Electronics, Software/IT and Mobile/Wireless. Extension Media is a privately held company based in San Francisco, Calif. For more information, visit www.extensionmedia.com.

SEMI yesterday honored two industry leaders at SEMICON West 2018 for their outstanding accomplishments in developing Standards for the electronics and related industries. The SEMI Standards awards were announced at the SEMI International Standards reception.

The Technical Editor Award recognizes the efforts of a member to ensure the technical excellence of a committee’s Standards. This year’s recipient is Sean Larsen of Lam Research. Mr. Larsen has led the North America EHS Committee and multiple EHS task forces for over a decade. His knowledge of the Regulations, Procedure Manual, and Style Manual, combined with his vast experience in the industry, ensures that complex safety matters are explained in a clear, consistent manner, and ballot authors frequently rely on him for his technical skills in preparing ballots.

In addition to co-chairing the North America EHS Committee, Mr. Larsen is currently the co-leader of the SEMI S22 (Electrical Design) Revision TF, the SEMI S2 Non-Ionizing Radiation TF, the SEMI S2 Korean High Pressure Gas Safety TF, and the Control of Hazardous Energy TF.

The Corporate Device Member Award recognizes the participation of the user community and is presented to individuals from device manufacturers. This year’s recipient is Don Hadder of Intel. Mr. Hadder has been actively involved in the Standards Program for several years, and currently leads the Chemical Analytical Methods Task Force and chairs the North America Liquid Chemicals Committee. He has successfully re-energized the committee, which is now focused on enabling continued process control improvements for advanced nodes. He recently drove the development of a critical new standard: SEMI C96, Test Method for Determining Density of Chemical Mechanical Polish Slurries, the first document in a series of SEMI Standards that will be devoted specifically to CMP slurry users, IDMs, slurry suppliers, metrology manufacturers and OEM equipment suppliers.

Mr. Hadder has worked at Intel for 23 years, where his experience and system ownership has been in Diffusion, Wet Etch, Planar-CMP, Ultra-Pure Water, Waste Treatment Systems, Abatement and Vacuum Systems, Bulk and Specialty Gas, Bulk Chemical Delivery and Planar Chemical Delivery.

BY PETE SINGER

There’s an old proverb that the shoemaker’s children always go barefoot, indicating how some professionals don’t apply their skills for themselves. Until lately, that has seemed the case with the semiconductor manufacturing industry which has been good at collecting massive amounts of data, but no so good at analyzing that data and using it to improve efficiency, boost yield and reduce costs. In short, the industry could be making better use of the technology it has developed.

That’s now changing, thanks to a worldwide focus on Industry 4.0–more commonly known as “smart manufacturing” in the U.S. – which represents a new approach to automation and data exchange in manufacturing technologies. It includes cyber-physical systems, the Internet of things, cloud computing, cognitive computing and the use of artificial intelligence/deep learning.

At SEMICON West this year, these trends will be showcased in a new Smart Manufacturing Pavilion where you’ll be able to see – and experience – data-sharing breakthroughs that are creating smarter manufacturing processes, increasing yields and profits, and spurring innovation across the industry. Each machine along the Pavilion’s multi-step line is displayed, virtually or with actual equipment on the floor – from design and materials through front-end patterning, to packaging and test to final board and system assembly.

In preparation for the show, I had the opportunity to talk to Mike Plisinski, CEO of Rudolph Technologies, the sponsor of the Smart Pavilion about smart manufacturing. He said in the past “the industry got very good at collecting a lot of data. We sensors on all kinds of tools and equipment and we’d track it with the idea of being able to do predictive maintenance or predictive analytics. That I think had minimal success,” he said.

What’s different now? “With the industry consolidating and the supply chains and products getting more complex that’s created the need to go beyond what existed. What was inhibiting that in the past was really the ability to align this huge volume of data,” he said. The next evolution is driven by the need to improve the processes. “As we’ve gone down into sub-20 nanometer, the interactions between the process steps are more complex, there’s more interaction, so understanding that interaction requires aligning digital threads and data streams.” If a process chamber changed temperature by 0.1°C, for example, what impact did it have on lithography process by x, y, z CD control. That’s the level of detail that’s required.

“That has been a significant challenge and that’s one of the areas that we’ve focused on over the last four, five years — to provide that kind of data alignment across the systems,” Plisinski said.

Every company is different, of course, and some have been managing this more effectively than others, but the cobbler’s children are finally getting new shoes.

Edwards Vacuum, a manufacturer of vacuum and abatement solutions for the semiconductor industry, will promote technology innovation and STEM education at SEMICON West this year. Industry professionals and students alike will be intrigued by the scientifically-accurate virtual reality (VR) game (featured at their space #628 on the show floor) that demonstrates the significant role the company’s products play in reducing the environmental impact of semiconductor manufacturing processes.

“The development of an electronic circuit or flat panel display involves a complex manufacturing process that uses a variety of global warming gases. CF4, a perfluorinated compound, is one of the worst offenders because it has an atmospheric lifetime of 50,000 years,” said Scott Balaguer, General Manager North America, Edwards. “The good news is that Edwards’ abatement solutions can minimize this impact significantly. Abatement is a very complex science that Edwards has over 30 years of experience in the making, backed by nearly 100 years of vacuum process technology development. We created the Molecule Blaster VR game as a fun approach to teaching the industry about the science of abatement; people enjoy saving the Earth from harmful greenhouse gases.”

Edwards, a sponsor of the SEMI High Tech U, will host high school students at the show as part of the company’s STEM outreach campaign. Students will experience the Molecule Blaster VR game and learn about chemistry.

“SEMICON West presents an opportunity for high school students to see science in action and have fun learning. We hope this experience will encourage them to consider careers in the semiconductor industry,” adds Balaguer.

SEMICON West 2018 will also feature:

  • Erik Collart, Global Product Manager, Edwards, will give a presentation in the Smart Manufacturing Pavilion on why sub-fab data management is critical to overall fab process and yield and optimization on Wednesday, July 11, from 1:30-4:30pm.
  • Mike Czerniak, Environmental Solutions Business Development Manager, Edwards, has co-authored the “Semiconductor Manufacturing Handbook” and will be available at the Edwards lounge for book signing on Tuesday, July 10, from 2:30-3:30pm.
  • Don’t miss happy hour – Edwards will be serving wine, beer and canapes in their lounge near the Smart Manufacturing Pavilion in the South Hall on Tuesday and Wednesday from 4pm-5pm.

SEMICON West takes place July 10-12, 2018 at the Moscone Center, San Francisco, Calif. SEMICON West is organized by SEMI Americas to connect more than 2,000-member companies and 1.3 million professionals worldwide to advance the technology and business of electronics manufacturing. SEMICON West is celebrating its 47th year as the flagship event for the semiconductor industry. For more information visit: www.semiconwest.org