Tag Archives: letter-semi-business

Releasing its Mid-Year Forecast at the annual SEMICON West exposition, SEMI, the global industry association representing the electronics manufacturing supply chain, today reported that worldwide sales of new semiconductor manufacturing equipment are projected to increase 10.8 percent to $62.7 billion in 2018, exceeding the historic high of $56.6 billion set last year. Another record-breaking year for the equipment market is expected in 2019, with 7.7 percent forecast growth to $67.6 billion.

The SEMI Mid-Year Forecast predicts wafer processing equipment will rise 11.7 percent in 2018 to $50.8 billion. The other front-end segment, consisting of fab facilities equipment, wafer manufacturing, and mask/reticle equipment, is expected to jump 12.3 percent to $2.8 billion this year. The assembly and packaging equipment segment is projected to grow 8.0 percent to $4.2 billion in 2018, while semiconductor test equipment is forecast to increase 3.5 percent to $4.9 billion this year.

In 2018, South Korea will remain the largest equipment market for the second year in a row. China will rise in the rankings to claim the second spot for the first time, dislodging Taiwan, which will fall to the third position. All regions tracked except Taiwan will experience growth. China will lead in growth with 43.5 percent, followed by Rest of World (primarily Southeast Asia) at 19.3 percent, Japan at 32.1 percent, Europe at 11.6 percent, North America at 3.8 percent and South Korea at 0.1 percent.

SEMI forecasts that, in 2019, equipment sales in China will surge 46.6 percent to $17.3 billion. In 2019, China, South Korea, and Taiwan are forecast to remain the top three markets, with China rising to the top. South Korea is forecast to become the second largest market at $16.3 billion, while Taiwan is expected to reach $12.3 billion in equipment sales.

The following results are in terms of market size in billions of U.S. dollars:

The Equipment Market Data Subscription (EMDS) from SEMI provides comprehensive market data for the global semiconductor equipment market. A subscription includes three reports: the monthly SEMI Billings Report, which offers an early perspective of the trends in the equipment market; the monthly Worldwide Semiconductor Equipment Market Statistics (SEMS), a detailed report of semiconductor equipment bookings and billings for seven regions and over 22 market segments; and the SEMI Mid-year Forecast, which provides an outlook for the semiconductor equipment market. For more information or to subscribe, please contact SEMI customer service at 1.877.746.7788 (toll free in the U.S.). For more information online, visit: http://info.semi.org/semi-equipment-market-data-subscription

By Paula Doe, SEMI

The fast-maturing infrastructure now enabling applications for big data and artificial intelligence means disruptive change not just at individual companies but also in data connections among companies across the microelectronics manufacturing value chain. SEMI expands its smart manufacturing program with a Smart Manufacturing Pavilion with displays and three full days of talks to address these industry-wide developments at SEMICON West, July 10-12 in San Francisco.

Autonomous autos’ demand for zero-defect systems and 100 percent traceability back to the manufacturing data for each die is driving a push to traceability across the chip sector. “Far more chips are being used by the automotive sector, and its very different requirements are driving demand for traceability,” says Tom Ho, president of BISTel America. “Our chipmaker customers are looking for traceability solutions and the trend is the same in backend packaging and assembly – automotive applications are driving the sector to traceability.”

Traceability is also driven by the growth of systems in a package as fabless chipmakers look to connect back to the packaging companies’ fault analysis labs and die interconnect history to diagnose and fix the cases where known-good die are failing in the system, adds Mike Plisinski, CEO of Rudolph Technologies. Plisinski adds that makers of consumer products like phones that can also see harsh conditions are demanding higher quality and traceability as well.

The EMS sector also must establish an architecture for traceability to collect critical manufacturing-related data and to interface with OSATs and semiconductor fabs. The reason is that EMS companies are adding traditional OSAT processes such as assembly of products with bare die and complex optics modules requiring clean rooms. “A unified sand-to-smart-phone smart manufacturing roadmap should be established,” says Dan Gamota, vice president of  Engineering and Technology Services at Jabil. “We need to identify protocols for manufacturing data communications that can be adopted across the supply chain.”

To enable smart manufacturing, vendors need to collaborate on getting their production equipment to interoperate and support factory analytics and data management systems. Source: SEMI

One big challenge, of course, is how to format this diverse data so it can be linked and used by  various supply chain stakeholders. “Smart data needs to be contextual and it needs data standards across the supply chain so it’s easy to link from the front end to the back end, follow common lot IDs front and back end, and have a way to map streaming data from sensors to a discrete lot ID,” notes Ho. New approaches to metrology, analysis and test that increasingly exploit machine learning on simulations will also be needed to help predict which die and connections that test well now may fail in the future as conditions change.

Another issue is how to securely share the needed data across companies without jeopardizing IP. “On the equipment side we collect data across customers on how the tool is running to improve the equipment,” notes Neal Callan, ASML VP Silicon Valley. “Next we need to integrate performance and reliability data that today is not as well shared.”

 

The other big hurdle is how to pay for data sharing. “The challenge is that the final manufacturers reap the benefit of traceability, but since they expect their suppliers to deliver good die, they don’t want to pay more for it,” notes Plisinski.  He suggests that over the next two to three years, traceability and predictive fault prevention will become the norm as the automotive sector is compelled to invest in it to assure safety. Meanwhile, fabless companies will face so much complexity in integrating different die from different suppliers in SiP that they will no longer be able to afford to simply use the cheapest supplier, potentially driving a fundamental shift in relations and division of labor among fabless chipmakers, OSATs and fabs.

Standards extend across supply chain

SEMI member committees are collaborating to build the infrastructure to enable these developments. Standards committees are updating standards for higher bandwidth data exchange and extending semiconductor-like vertical and two-way horizontal equipment communication standards to flow shops to enable assembly players to optimize and trace back results across players. The SMT/PCBA community is integrating its smart manufacturing work into SEMI standards, and the SEMI A1 standard was a key reference document in the development of the Japan Robotics Association’s Equipment Link Protocol.

Speakers addressing these issues at SEMICON West include Active Layer Parametrics, Applied  Materials, Applied Research & Photonics, ASML, Bosch Rexroth, Cimetrix, Coventor, ECI Technologies, Edwards Vacuum, Final Phase Systems, GE Digital,  Infineon, Jabil, Lam Research, Osaro, Otosense, PEER Group, Qualcomm, Rockwell Automation, Rudolph Technologies, Schneider Electric, Seagate, Siemens, Stanford University, TEL, TIBCO Software. See semiconwest.org

Each year at SEMICON West, the “Best of West” awards are presented by Solid State Technology and SEMI. More than 26,000 professionals from the electronics manufacturing supply chain attend SEMICON West and the co-located Intersolar. The “Best of West” award was established to recognize new products moving the industry forward with technological developments in the electronics supply chain.

Selected from over 600 exhibitors, SEMI announced today that the following Best of West 2018 Finalists will be displaying their products on the show floor at Moscone Center from July 10-12:

  • Advantest: T5503HS2 Memory Tester— The T5503HS2 memory tester is the industry’s most productive test solution for the fastest memory devices available today as well as next-generation, super-high-speed DRAMs.  The new system’s flexibility extends the capabilities of the T5503 product family in the current “super cycle,” in which global demand for memories is skyrocketing. (South Hall Booth #1105)
  • BISTel: Dynamic Fault Detection (DFD®) – The DFD system offers full trace data coverage and eliminating the need for timely and costly modeling and set up. DFD® is also a bridge to smart factory manufacturing because it integrates seamlessly to legacy FDC systems meaning customers can access the most comprehensive, and accurate fault detection system on the market. (South Hall Booth 1811)
  • Rudolph Technologies: Dragonfly System with Truebump Technology– Rudolph’s Dragonfly System with Truebump Technology was designed to provide a complete solution for “total bump process control.” Using a unique approach, Truebump Technology combines 2D inspection and measurement information from image-based techniques with 3D data from separate high-precision and high-throughput laser-based techniques to deliver accurate and complete characterization at production-capable throughputs. (North Hall Booth #6170)

Congratulations to each of the Finalists. The Best of West Award winner will be announced during SEMICON West (www.semiconwest.org) on Wednesday, July 11, 2018.

About SEMI

SEMI® connects over 2,000 member companies and 1.3 million professionals worldwide to advance the technology and business of electronics manufacturing. SEMI members are responsible for the innovations in materials, design, equipment, software, devices, and services that enable smarter, faster, more powerful, and more affordable electronic products. FlexTech, the Fab Owners Alliance (FOA) and the MEMS & Sensors Industry Group (MSIG) are SEMI Strategic Association Partners, defined communities within SEMI focused on specific technologies. Since 1970, SEMI has built connections that have helped its members prosper, create new markets, and address common industry challenges together. SEMI maintains offices in Bangalore, Berlin, Brussels, Grenoble, Hsinchu, Seoul, Shanghai, Silicon Valley (Milpitas, Calif.), Singapore, Tokyo, and Washington, D.C.  For more information, visit www.semi.org and follow SEMI on LinkedIn and Twitter.

About Extension Media

Extension Media is a publisher of over 20 business-to-business magazines (including Solid State Technology), resource catalogs, newsletters and web sites that address high-technology industry platforms and emerging technologies such as chip design, embedded systems, software and infrastructure, intellectual property, architectures, operating systems and industry standards. Extension Media publications serve several markets including Electronics, Software/IT and Mobile/Wireless. Extension Media is a privately held company based in San Francisco, Calif. For more information, visit www.extensionmedia.com

Sparking conversation is a goal of SEMICON West, and SEMI Americas and Applied Materials invite working journalists across the electronics spectrum to a special AI Design Forum luncheon on Tuesday, July 10, from noon to 1:30 p.m., at The Forum at the Yerba Buena Center for the Arts, 701 Mission Street, in San Francisco. The event is presented in conjunction with SEMICON West at the Moscone Center.

In addition to the exchange of fresh news, ideas and insights on industry trends, executives from SEMI Americas and Applied Materials will host an interview by John Markoff of The New York Times with Dr.  David Patterson of Google. Patterson is known for his pioneering contributions to reducing the design complexity of MIPS, RISC and other microprocessors found in most chips today. A former professor of computer science at UC Berkeley and a distinguished engineer at Google, he is co-recipient of the 2017 Turing Award, widely regarded as the Nobel Prize for computer science.

Like this special lunch session, almost everything about SEMICON West is stronger this year.  Led by 200 industry leaders and visionaries, conversation topics are far-ranging, with special focus on five industries that promise to define future electronic devices: artificial intelligence (AI), automotive electronics, biotechnology, smart manufacturing and IoT. The event will also tackle the tough question of how to attract new talent into a global industry that requires greater creativity, innovation, and ingenuity to deliver the electronic advantages for tomorrow.

To reserve your seat at this special luncheon event, request your press credentials for free attendance at www.semiconwest.org/registration. Seating is limited and available until June 26 per your RSVP to [email protected].

What’s on the SEMICON West Program

Keynote speakers:

  • Dr. John E. Kelly, III, Senior Vice President, IBM Cognitive Solutions and IBM Research
  • Gary Dickerson, Chief Executive Officer, Applied Materials
  • Amir Husain, Chief Executive Officer, SparkCognition
  • Dr. Melissa Schilling, Professor, New York University
  • Dr. William Dally, Chief Scientist, nVIDIA
  • Mark Papermaster, Chief Technology Officer, Advanced Micro Devices
  • Dr. Wolfgang Juchmann, Vice President, Business Development, AutonomouStuff

 

  • AI Design Forum: The AI era is expected to be the largest and longest-lived of any in electronics history. This Forum, sponsored by Applied Materials, will present new approaches to computing and device architectures required to drive widespread AI adoption.
  • Semi Venture Funding Gaps and Solutions: With semiconductor and hardware investments dwindling in the U.S. and Europe due to rising capital requirements and development costs, a map will be shared for how to maintain technological leadership in future semiconductors.
  • Smart Manufacturing Pavilion: Marked by explosive growth in data availability, AI, biomed, IoT and other information sources are driving demand for new technologies. The Pavilion features data-sharing breakthroughs that can create smarter manufacturing processes, increase yields and profits, and spur innovation across the industry.
  • Smart Transportation Pavilion: Leading all new application spaces for chip growth, the transportation market promises great potential for related segments like FHE and MEMS and Sensors.
  • Smart Workforce Pavilion: Sustaining the industry’s pace of innovation and growth has become a top priority for companies. With chip businesses facing stiff competition from other tech-related segments, the Pavilion’s mission is to inform entry-level prospects that microelectronics is a smart career choice.

SEMICON West is organized by SEMI Americas to connect more than 2,000 member companies and 1.3 million professionals worldwide to advance the technology and business of electronics manufacturing. SEMICON West is celebrating its 47th year as the flagship event for the semiconductor industry.

With the rapid rise of AI providing overwhelming possibilities for industry growth, SEMICON West has been designed to help the microelectronics industry get a firm handle on how best to enable and take advantage of AI’s potential. From the lab to the fab, and from design through system, the benefits from conversations at the event will be felt across transportation, medical, manufacturing, IoT and Big Data.

With the world’s interest racing toward how Artificial Intelligence (AI) can accelerate so many things, six visionary keynoters will reveal what lies ahead for semiconductors and society. Forecasting tomorrow’s trends and their impacts, the keynoters plan to illustrate the semiconductor’s path to enabling a global state of “Beyond Smart.” Complementing the keynotes, nearly 120 experts from multiple disciplines will analyze pivotal aspects of trends that are driving the emerging markets for microelectronics. This year’s preeminent event, SEMICON West, will be held at the Moscone Center in San Francisco, July 10-12.

“SEMICON West is the timeless home where the world’s next innovations are previewed and accelerated,” said David Anderson, President of SEMI Americas. “With the dawn of the AI era ramping up globally, we’ve assembled the richest lineup of talent and resources in SEMI history.”

BEYOND SMART

Through both artificial and organic cognition, the ways that intelligence is being cultivated will be profiled and mapped by world-renowned keynoters:

  • Dr. John E. Kelly, III, Senior Vice President, IBM Cognitive Solutions and IBM Research
  • Gary Dickerson, Chief Executive Officer, Applied Materials
  • Amir Husain, Chief Executive Officer, SparkCognition, and author of The Sentient Machine: the Coming Age of Artificial Intelligence
  • Dr. Melissa Schilling, Professor, New York University Stern School of Business and author of Quirky: The Remarkable Genius of Breakthrough Innovators Who Changed the World
  • Mark Papermaster, Chief Technology Officer, Advanced Micro Devices
  • Dr. Wolfgang Juchmann, Vice President, AutonomouStuff

Dr. Kelly of IBM has shared in interviews that he was an “early-on” believer in Moore’s Law, where he built much of his career. Now, he sees the industry embarking on the early part of an “AI’s Law.” He is focused on IBM’s investments in several new and future areas of the fastest-growing and most strategic parts of the information technology market. He also oversees the specialization of IBM Watson into various industries and domains.

Gary Dickerson of Applied Materials is as well-versed as anyone about the history, and future, of the chip business. In addition to Applied, he also has led semiconductor equipment companies Varian Equipment and KLA-Tencor in their top executive positions for 25 years. His insights to be presented at SEMICON West will include first-hand knowledge of how the markets are changing and where will be the opportunities for the toolmaking and chipmaking businesses.

Amir Husain of SparkCognition argues — from his background as an inventor and computer scientist — that with AI, the chip industry is on the cusp of writing its next, and greatest, creations. Also author of The Sentient Machine, he’ll examine for the audience what complex computer science and AI concepts will mean for a wide variety of chip technologies, including the resulting cultural benefits and challenges. Husain is an advocate for embracing AI in order to advance the state of the art in many critical fields, including security, resource management, finance and energy.

Dr. Melissa Schilling of NYU’s Stern School of Business will speak about “creative genius” as partial reflection of her research focus on innovation and strategy in high-tech industries such as smartphones, gaming, pharmaceuticals, biotechnology, electric vehicles and renewable energies. She’s well-studied in platform dynamics, networks, creativity and breakthrough innovation. As author of several innovation strategy textbooks and the recently released book Quirky, she suggests that regardless of whether an innovator is a one-hit wonder or a serial disruptor, a common thread among those introverts and extroverts alike is their cultivation of talents for the benefit of society.

Mark Papermaster of AMD is a veteran of silicon engineering at Apple, Cisco and IBM. He’s responsible for corporate technical direction, product development including system-on-chip (SOC) methodology, microprocessor design, I/O and memory, and advanced research. He also oversees Information Technology to deliver AMD’s compute infrastructure and services. From his leadership roles managing the development of products — from microprocessors to mobile devices and high-performance servers — Papermaster will offer his insights and forecasts around the inflection points for semiconductor applications and AI.

Dr. Wolfgang Juchmann of AutonomouStuff is expert in future automotive options and with the technologies that enable perception sensors, LiDAR and autonomous vehicles. He will include discussion of how and where advanced autonomous driving tasks will rely on new capabilities for radar, vision and ultra-sonic sensors, real-time 3D data fusion middleware, fully by-wire controllable autonomous development vehicles and modular software algorithms.

SEMI, the global industry association representing the electronics manufacturing supply chain, today announced that the WT | Wearable Technologies Conference 2018 USA will co-locate July 11-12 with SEMICON West 2018 in San Francisco. The electronics industry’s premier U.S. event, SEMICON West — July 10-12 at Moscone North and South — will highlight engines of industry expansion including smart transportation, smart manufacturing, smart medtech, smart data, big data, artificial intelligence, blockchain and the Internet of Things (IoT). Click here to register.

“We are excited that the WT | Wearables Technologies Conference has joined SEMICON West to co-locate in 2018,” said David Anderson, president of SEMI Americas. “Our strategic partnership brings new content and more value to our extended supply chain. Every day the semiconductor industry makes chips smaller and faster with ever-higher performance. These innovations enable new wearable applications for smart living, smart medtech and healthcare that are continuously improving our lives. The WT | Wearable Technologies Conference speakers at SEMICON West 2018 will demonstrate just how they use semiconductor technology to deliver leading-edge wearables.”

“It is a great pleasure to collaborate with the leading global electronics manufacturing association and its successful SEMICON West event,” said Christian Stammel, CEO of WT | Wearables Technologies. “Since the beginning of our platform in 2006, the semiconductor industry has been a major driver of wearables and IoT innovation. All major developments in the WT application markets like healthcare (smart patches), safety and security (tracking solutions), lifestyle and sport (smartwatches and wristbands) and in the industrial field (AR / VR) were driven by semiconductor and MEMS innovations. Our program of expert speakers at SEMICON West will share the latest insights in the wearables market as the SEMI and WT ecosystems explore collaboration and innovation opportunities.”

The Semiconductor Industry Association (SIA), representing U.S. leadership in semiconductor manufacturing, design, and research, today released the following statement from President & CEO John Neuffer in response to the Trump Administration’s decision to set in motion a process led by the United States Trade Representative to investigate China’s unfair trade practices.

“The U.S. semiconductor industry stands ready to work with the Trump Administration to protect American intellectual property and critical technology from theft or forced transfer in foreign markets.

“Intellectual property is the lifeblood of the semiconductor industry. Semiconductors are America’s fourth-largest export and underpin the entire economy. U.S. semiconductor companies invest nearly one-fifth of their revenue in research and development to stay at the forefront of innovation, and they should be able to compete in foreign markets without putting their critical IP at risk.

“While China is an important part of the global semiconductor value chain, SIA has long raised concerns about market-distorting aspects of its state-led industrial policy – such as forced technology transfer practices – that disadvantage U.S. companies and imperil their IP. A balanced, fair, objective, and thorough investigation aimed at ensuring that China meets its global trading obligations and that market forces determine competitive outcomes will be helpful to address these market-access issues.  

“The U.S. semiconductor industry looks forward to working with the Administration to address these challenges. Further, we expect this review will seek to find solutions consistent with international trading obligations and help ensure lasting American leadership in semiconductor technology.”

Top five product segments driving the first annual double-digit IC market upturn since 2010.

IC Insights has revised its outlook and analysis of the IC industry and presented its new findings in the Mid-Year Update to The McClean Report 2017, which originally was published in January 2017. Among the revisions is a complete update of forecast growth rates of the 33 main product categories classified by the World Semiconductor Trade Statistics organization (WSTS).

ICInsights1

Figure 1 shows the complete ranking of IC products by forecasted growth rate for 2017. Topping the chart of fastest-growing products is DRAM, which comes as no surprise given the strong rise of average selling prices in this segment throughout the first half of 2017.  IC Insights now expects the DRAM market to increase 55% in 2017 and lay claim as the fastest-growing IC product segment this year. This is not unfamiliar territory for the DRAM market.  It was also the fastest-growing IC segment in 2013 and 2014. Remarkably, DRAM has been at the top and near the bottom of this list over the past five years, demonstrating its extremely volatile nature (Figure 2).

ICInsights2

The Industrial/Other Special Purpose Logic segment is projected to grow 32% and two automotive-related IC categories—Automotive Special Purpose Logic (48%) and Automotive Application Specific Analog (18%)—are also on course for growth that will exceed the 16% expected of the total IC market. There are more IC categories that are forecast to show positive growth in 2017 (29) compared to 2016 (21), but only the top five market segments mentioned above are forecast to exceed the total IC market growth in 2017, indicating top-heavy market growth. Another five segments (two analog categories, two MCU segments, and Computer and Peripherals—Special Purpose Logic) are forecast to show double-digit growth in 2017, though less than the 16% forecast for the total IC market this year.

Additional details and discussion regarding the updated IC forecasts for the 2017-2021 timeperiod are covered in IC Insights’ Mid-Year Update to The McClean Report 2017.

SEMI announced the recipients of the 2017 SEMI Awards for the Americas today. The awards honor: a team from Micron Technology (Micron) for the development of the hybrid memory cube and their leadership in co-founding the Hybrid Memory Cube Consortium, and Bryan Black from Advanced Micro Devices for integration of the “Fiji” 3D-IC graphics processor product. The awards were presented at SEMICON West 2017 today.

SEMI Awards recognize technology developments that have had a major impact on our industry and the world.  The 2017 award recipients share the distinction of having pioneered processes and integration breakthroughs that enabled the first high-volume production of 3D memory and the integration of 3D memory into the first high-volume production of 3D Systems-in-Package (SiP) products.

Use of the third dimension in 3D memory devices provides density and performance that are beyond the range of traditional 2D scaling.  Although efforts to use the third dimension have been ongoing for decades, the use of through-silicon-vias (TSVs) was critical to creating the technology foundation on which current devices are based. The work of Warren Farnworth and Salman Akram at Micron was essential to enabling the development of the “hybrid memory cube.” By 2011, Micron had developed the technology to the point where its technical potential was clear, but Scott Graham recognized that it would be a “niche product” ─ unless a community of device manufacturers, developers, and adopters followed a common interface specification. Micron made a bold move, teaming up with a major competitor (Samsung), to co-found the Hybrid Memory Cube Consortium. The Consortium now has 100+ members working to innovate and expand the capabilities of the next generation of memory-based solutions. For developing the Hybrid Memory Cube technology and their leadership in the establishment of the Hybrid Memory Cube Consortium, SEMI is proud to present Warren Farnworth, Salman Akram, and Scott Graham of Micron with the 2017 SEMI Award. Tom Eby, VP of Micron’s Compute and Networking Business Unit, accepted the award for Micron.

Advanced Micro Devices (AMD) also recognized the importance of collaboration in 3D SiP devices. A decade ago, AMD realized that advanced graphics processing would require major innovation in multi-die integration and increases in processor-memory bandwidth. To meet this challenge, AMD began a 10-year development process with its memory partner, SK-Hynix, and the system integrator, ASE. Their process drove advances in multi-die memory stacking and software standards as well as addressing the crucial challenges of thermal management and “intelligent reliability” for components operating at the edge of their design envelope. In 2015, AMD introduced the “Fiji” graphics processor which was made possible by an aggressive prototyping sequence that produced over 15 distinct product designs and involved over 20 contributing companies. More importantly, the AMD-led project produced a number of industry firsts:  the use of die-stacked memory in a graphics processor, the use of a high-volume interposer package in a graphics product, the integration of 22 discrete die into a package shipping millions of parts, and collaboration across the supply chain. These innovations would not be possible without the leadership of AMD. SEMI is honored to present Bryan Black, a senior AMD Fellow at AMD Austin, with the 2017 SEMI Award for the integration of the “Fiji” 3D-IC graphics processor.

“Every year SEMI honors key technological contributions and industry leadership through the SEMI Award. This year’s recipients were each instrumental in delivering technologies that will influence product design and system architecture for many years to come. Congratulations to both Bryan from AMD and the Micron team for their significant accomplishments,” said David Anderson, president, SEMI Americas.

“Both of the 2017 Awards recognize the enabling of high-volume manufacturing through collaboration with key vendors in the supply chain at AMD and by establishing a collaboration with competitors as well as the supply chain at Micron. These breakthroughs through collaboration set an example for acceleration of innovation in the future,” said Bill Bottoms, chairman of the SEMI Awards Advisory Committee.

The SEMI Award was established in 1979 to recognize outstanding technical achievement and meritorious contribution in the areas of Semiconductor Materials, Wafer Fabrication, Assembly and Packaging, Process Control, Test and Inspection, Robotics and Automation, Quality Enhancement, and Process Integration.

The award is the highest honor conferred by SEMI Americas. It is open to individuals or teams from industry or academia whose specific accomplishments have broad commercial impact and widespread technical significance for the entire semiconductor industry. Nominations are accepted from individuals of North American-based member companies of SEMI. For a list of past award recipients, visit www.semi.org/semiaward.

SEMI honored four industry leaders for their outstanding accomplishments in developing Standards for the electronics and related industries. The SEMI Standards awards were announced at the SEMI International Standards reception held during SEMICON West 2017.

The SEMI International Standards Excellence Award, inspired by Karel Urbanek, is the most prestigious award in the SEMI International Standards Program. The 2017 recipient is Bert Planting (ASML) who has been active in SEMI Standards for more than a decade, without interruption, in numerous international safety standardization projects, including:

  • S10 (Safety Guideline for Risk Assessment and Risk Evaluation Process) since 2005
  • S27 (Safety Guideline for the Contents of Environmental, Safety, and Health (ESH) Evaluation Reports) since 2010
  • S2 (Environmental, Health, and Safety Guideline for Semiconductor Manufacturing Equipment)
  • S25 (Safety Guideline for Hydrogen Peroxide Storage and Handling Systems) since 2012

Planting has co-chaired the North American (NA) chapter of the EHS Technical Committee since 2013, and also currently leads the S10 Revision Task Force and the S2 Interlock Reliability Task Force. As leader of the S10 Revision Task Force, he significantly improved S10’s usefulness and practicality. Under his strong direction, the risk assessment methodology of S10 (and by reference, S2) is now more objective, easier to implement, and better harmonized with major international Standards for safety risk assessment. As co-chair of the European EHS Technical Committee from 2005–2013, he successfully led a major S10 revision as well as development of a new Safety Guideline.

The North American SEMI International Standards Merit Award recognizes major contributions to the SEMI International Standards Program.  Award winners typically take on a very complex problem at the task force level, gain industry support, and drive the project to completion. This year two people received the award:

  • Yanli “Joyce” Chen (UCT) reactivated the Pressure Measurements Task Force during the SEMICON West 2014 Standards Meetings. This task force was chartered to develop a series of standardized performance definitions and test methods related to pressure measurement devices used in the semiconductor industry. Previous attempts to develop a standard test method for pressure transducers in gas delivery systems were not successful, but Chen reenergized the Task Force, putting tremendous effort into test apparatus development, test procedure optimization, data collection, and test results analysis, and conducting an extensive pressure transducer side-by-side evaluation project. This provided a solid base for the development of the new Standard, and SEMI F113, Test Method for Pressure Transducers Used in Gas Delivery Systems was approved and published. Chen has also been instrumental in updating several test methods for mass flow controllers and other components with benefits to the entire semiconductor industry.
  • John Visty (Salus Engineering International) has been the leader of the S2 Chemical Exposure Task Force since 2008; he is also the Task Force leader for the S2 Non-ionization and the S6 (Exhaust Ventilation) Revision. Leading these Task Forces resulted in revisions of SEMI S2, the most recognizable SEMI standard. The industrial hygiene section (regarding chemical exposure) in SEMI S2 was in need of clarification to ensure consistent technical interpretation by equipment suppliers, end-users and third-party evaluators.  Visty drove development through multiple ballot attempts, incorporating feedback from unfavorable ballots to reach industry consensus. In March 2017, revisions to SEMI S2 related to chemical exposure were approved and incorporated into SEMI S2. This clarification benefits the semiconductor industry by providing consistent chemical conformance criteria.

The North American SEMI International Standards Leadership Award recognizes outstanding leadership in guiding the SEMI International Standards Program. Brian Rubow (Cimetrix) has been an important contributor to SEMI Standards for many years and has demonstrated ongoing and increasing leadership.  Rubow became leader of the North American Diagnostic Data Acquisition (DDA) Task Force in 2008, leader of the NA GEM300 Task Force in 2010, co-chair of the NA Chapter of the Information and Control Committee in 2013, and vice-chair of the NA Regional Standards Committee in 2014. He continues to serve in all four of these positions. Among other accomplishments, Rubow drove the development of two important advances to bring semiconductor factory automation into the world of modern networks:

  • SEMI E172, SECS Equipment Data Dictionary (SEDD), which allows factory automation systems to adapt to the individual capabilities of each equipment type
  • SEMI E173, SECS Message Notation (SMN), which allows the content of factory messages to be specified in modern XML notation.

Rubow’s technical knowledge of factory connectivity solutions and outstanding leadership skills make a major contribution to the SEMI International Standards program.

For more information about SEMI International Standards, visit www.semi.org/en/Standards.