Tag Archives: letter-semi-business

Busch LLC has launched a new program where, at key sites around the world, they have the ability to re-manufacture any major brand of vacuum pump, including high vacuum turbos and cryos.

“In the last year, we’ve made a lot of investments in re-manufacturing,” said Derek Shields, Director Strategic Alliances, Busch. The company is calling it re-manufacturing rather than servicing because of the application of manufacturing principles, including lean practices, to the service operation. Re-manufacturing services extend to major brands of gas abatement systems, although those are typically done on-site.

Shields said that rather than performing service at what he calls “supercenters,” as other suppliers are doing, Busch is striving to offer local support. “We’re actually investing in areas where there are semiconductor clusters so that we’ve got support locally,” Shields said.

“We’re keeping those pumps alive, because there’s really nothing wrong with the pumps. We can actually improve upon the older technology vacuum pumps,” Shields said. Typical turnaround time for a pump re-manufacture is about 4 weeks, but that can be expedited upon request.

The main advantage of the re-manufacturing capability is risk mitigation. “The risk of the pump failing in its service window is negated,” he said.

The latest addition to the Busch lineup is a new facility in Austin, TX. This 44,000ft2 facility will offer singe piece flow re-manufacturing with four flow line capabilities, processing 16 modules per day from disassembly to testing. It also has the potential to serve as a distribution hub for pumps and parts.

Some upgraded features of the building include additional space, a training center, a fully exhausted disassembly area and visual production planning by way of large screens in each area tracking actual movements in flow lines. A visitor walkway will allow visitors to view the production area without entering it, and customers will be able to track their repairs via the web in real time. “Our customers can go into the tracker and see where their pumps is in the service process. It will also give them push notifications of where their pump is and when it’s ready,” Shields said.

By Ed Korczynski, Sr. Technical Editor

New Materials Need New Handling Approaches photo

Wenge Yang, Vice President of Corporate Marketing, Entegris

Wenge Yang is vice president of corporate marketing for Entegris, and before joining the company in 2012 he earned a Ph.D. in Materials Engineering and served in various executive roles at Advanced Micro Devices, Tokyo Electron, and two startup companies, so he has a uniquely valuable perspective on materials trends in IC fabs. Yang spoke with the Show Daily about major trends in High Volume Manufacturing (HVM), and about the topics that will be discussed in the Entegris Yield Breakfast Forum “Yield Enhancement Challenges in Today’s Memory IC Production” happening Thursday morning, July 14.

 

3D-NAND

On the memory side the biggest challenge is that investment into different memory technologies has slowed innovation in DRAM. “People will hold the R&D money away from DRAM to try to find a DRAM-killer. So most of the innovation in memory is in 3D-NAND, and obviously Samsung is leading the industry with moves to build two new production lines to try to dominate the market.”

One of the known difficulties in 3D-NAND HVM is the etching and filling of contacts to the side “staircase” structure. Today the material used for contact fill is tungsten (W), while standard WF6 gas precursor shows some limits in ability to fill these contacts and in reliability. Going to more layers generally means deeper holes to fill, so fabs are exploring new fluoride-free-tungsten using chloride chemistry precursors which promise better process results.

EUVL

EUV lithography has been debated for many years,” reminded Yang. “Finally, it has been developed to the point that it will be used in 2018 for pilot and in 2020 for production. Logic fabs will use it for 7nm-node processing, while in foundry fabs the 5nm-node will be the insertion point.”

Inpria and many of the legacy photoresist suppliers are developing new metal-core photoresist chemistry for improved sensitivity and Line-Width Roughness (LWR) in EUVL. Yang explains that new handling technologies will be needed for such photoresists, “A new requirement in purification is needed, while the filtration requirement for particles remains. This comes along with what we call ‘metal-phobia’ at the leading edge. In the past part-per-trillion levels were not issues, while today the whole delivery path becomes an issue and customers now ask about the materials of construction of all fluid-path components to ensure that no contaminants leach out into chemistry.”

Purity uncertainties

At the leading edge, a lot of focus is on gas purity requirements of new metal-organic precursors needed for ALD/CVD. “In reality, if we talk to IDMs they say that they honestly don’t know what is the right spec. Maybe part-per-trillion is too much, but they will say that they do not want to leave risk in the process,” confided Yang. “There are cases where a customer sees something happen and they can trace the problem back to a metal contamination level in a precursor. Obviously we know that less metal should be better, but we generally lack the ability to know exactly so the spec tends to stay at the prior node level.”

“In terms of the business dynamics, it is a challenge for us to create new products that meet the evolving needs of our leading customers,” explained Yang. “However the greater challenge is the serious overhead investment needed for more on-site customer support and more analytical lab tests. Supporting today’s customers is painful today, so smaller companies may find it too difficult and expensive to stay in the market.”

On Thursday morning of SEMICON West in the Yerba Buena level of the Marriott Marquis hotel, Entegris will host the 7th annual Yield Breakfast Forum. Micron will talk about XPoint manufacturing technology it has co-developed with Intel. XMC will talk about the dynamic of China developing it’s own materials supply-chain.

SEMI honored four industry leaders for their outstanding accomplishments in developing Standards for the electronics and related industries. The SEMI Standards awards were announced at the SEMI International Standards reception held during SEMICON West 2016.

The 2016 SEMI International Standards Excellence Award, inspired by Karel Urbanek,is the most prestigious award in the SEMI International Standards Program. Yesterday, it was awarded to Terry Asakawa of Tokyo Electron.   His leadership was critical in establishing the PV Automation Global Technical Committee and its subsequent transformation into the Automation Technology Global Technical Committee, as he envisioned how the SEMI Standards Program could effectively address simpler, flow-oriented manufacturing in industries outside of semiconductor manufacturing. In addition, he led the identification of previously unknown incompatibility issues and lack of evaluation methods for interoperability and closed the gap with two important documents on FOUP-Load Port Interoperability Implementation. In recent years, Asakawa has been very active in development work to enhance the GEM300 Standards with contemporary concepts (e.g., scheme for secure recipe management and use of prediction in real time carrier logistics controls). He continues to make major contributions to increasing the usability and relevance of SEMI equipment communication Standards, which are essential to Smart Manufacturing.

In addition to the 2016 SEMI International Standards Excellence Award, the recipients of three other major SEMI Standards awards were also announced:

The Merit Award

The Merit Award recognizes major contributions to the SEMI International Standards Program.  Award winners typically take on a very complex problem at the task-force level, gain industry support, and drive the project to completion. This year, the award was presented to Kurt Haller of KLA-Tencor. Haller has been a key member of the Silicon Wafer technical committee for years, and is currently the North American leader for the International Automated Advance Surface Inspection Task Force. His diplomatic leadership strengthened collaboration within the international community, enabling the task force to efficiently revise and maintain wafer inspection standards to current technology including SEMI M35 – Guide for Developing Specifications for Silicon Wafer Surface Features Detected by Automated Inspection, M50 – Test Method for Determining Capture Rate and False Count Rate for Surface Scanning Inspection Systems by the Overlay Method, M52 – Guide for Specifying Scanning Surface Inspection Systems for Silicon Wafers for the 130nm to 11nm Technology Generations, M58 – Test Method for Evaluating DMA Based Particle Deposition Systems and Processes, MF1048 – Test Method for Measuring the Reflective Total Integrated Scatter, and MF1811 – Guide for Estimating the Power Spectral Density Function and Related Finish Parameters from Surface Profile Data within the past three years.

The Leadership Award 

The Leadership Award recognizes outstanding leadership in guiding the SEMI International Standards Program. Sean Larsen of Lam Research has been the leader of the North America EHS Technical Committee (TC) Chapter and task forces for over a decade. He is the co-leader of SEMI S22 (Electrical Design) Revision Task Force, SEMI S2 Non-Ionizing Radiation Task Force, and Control of Hazardous Energy Task Force. Larsen is very engaged in global EHS Committee activities, as well as the North American Regional Standards Committee and, previously, the International Standards Committee. In both the North America (NA) EHS Technical Committee and the NA Regional Standards Committee, he has established forums for discussing Standards rules, questions, and problems, as well as developed processes for suggesting changes to the Regulations when determined to be appropriate. Larsen’s deep knowledge of the Standards Program provides guidance and support to the challenging EHS Committee.

The Legacy Award 

Win Baylies of BayTech-Resor was recognized with the SEMI Standards Legacy Award for his valuable contributions and continued dedication to the SEMI International Standards Program, which is celebrating its 43rd anniversary this year. Since the 1970s, Baylies has been involved with numerous committees including Flat Panel Display, Photovoltaic, Silicon Wafer, Traceability, Compound, High-Brightness LED, 3-Dimensional Stacked Integrated Circuits, and MEMS. Baylies has tirelessly promoted Standards development internationally, recruited key volunteers throughout the supply chain and conducted countless education programs. His long-standing dedication to the advancement of SEMI Standards has been instrumental for SEMI.

For more information about SEMI International Standards, visit www.semi.org/en/Standards.

Leti, an institute of CEA Tech, and the Korea Institute of Science and Technology (KIST) today announced an agreement to jointly explore a variety of technologies, including monolithic 3D, neuromorphic architectures, non-volatile 3D memory, spintronics and ultra-low power semiconductors.

The five-year joint project also will focus on creating a broad network to foster international collaboration on ultra-low power semiconductors, which both institutes agree will be required to power the ever-increasing spread of digital devices and the Internet of Things.

“Like Leti, KIST has helped set the standards for government-supported research institutes for 50 years,” said Leti CEO Marie Semeria. “This agreement reflects that we have identified numerous vital technology fields that must be developed to make industry more productive, companies more innovative and society more responsive to people in many aspects of their lives.”

“Post-Silicon Semiconductor Institute (PSI) of KIST is playing a key role in semiconductor R&D in Korea. With this agreement, KIST and Leti will strengthen the collaborative relationship to achieve global leadership in the field of semiconductors.” said KIST president Byung Gwon LEE.

Leti partners with large industrials, SMEs and startups to tailor advanced solutions that strengthen their competitive positions. It has launched 59 startups. Its 8,500m² of new-generation cleanroom space feature 200mm and 300mm wafer processing of micro and nano solutions for applications ranging from space to smart devices. With a staff of more than 1,900, Leti is based in Grenoble, France, and has offices in Silicon Valley, Calif., and Tokyo.

KIST is a multi-disciplinary research institute located in Seoul, S. Korea. Founded in 1966, it is the first multi-disciplinary scientific research institute in Korea and has contributed significantly to the economic development of the country, particularly during the years of accelerated growth in the 1970s and 1980s.

By Shannon Davis, Web Editor

“There’s never been a better time to connect” was the theme of John Kern’s keynote address at SEMICON West 2016 Tuesday morning, though it was clear from his speech that connecting – or digitizing – supply chains is not just a good idea, but imperative in the current ever-changing climate of the electronics supply chain.

John Kern, Vice President of Supply Chains, Cisco Systems, speaking at SEMICON West 2016 on Tuesday morning. (Source: SEMI)

John Kern, Senior Vice President of Supply Chains, Cisco Systems, speaking at SEMICON West 2016 on Tuesday morning. (Source: SEMI)

“If you’re not investing in digitization today, it’s going to be very, very difficult for you to remain relevant over the next decade,” Kern urged his audience.

Kern, who is Senior Vice President of Supply Chains at Cisco Systems, came equipped with several compelling case studies from his team’s own experiments, to make the case for why connecting the supply chain is so vital to innovation and profitability.

The first case study that Kern presented showed Cisco’s results from monitoring energy and energy costs in a factory setting. His team deployed a network of thousands of sensors that monitored energy readings of every piece of equipment in one of Cisco’s Malaysian factories, so teams could gather data and analytics on each piece’s performance. This initiative allowed the factory team to make changes in equipment to optimize performance, which resulted in a 12% energy reduction and a 1 million USD cost savings, which amounted to a full return on investment achieved in less than 10 months.

Kern also envisions a path to tens of millions of dollars in capital savings each year with adaptive testing, an initiative that’s currently saving Cisco test engineers man hours and allowing them to return to high value work. Kern said that Cisco was able to leverage analytics capabilities of a software they owned called Auto Test, along with Cisco’s own 10-15 years of test information, to build a test system that is now capable of machine-to-machine learning.

“The tests are becoming adaptive; they’re changing themselves,” said Kern, “and they’re notifying the engineers when they’re making a change.”

In addition to the cost and time savings, Kern believes this also allows for engineers to develop higher quality products.

And these products are also reaching the market faster, thanks to a Cloud-based supplier collaboration platform Cisco is using, that is allowing all of their suppliers to see real-time changes in demand and real-time changes in supply response, eliminating the bull-whip effect in the supply chain.

“We’ve also seen substantial improvement in product lead time,” Kern said. “We’re able to solve issues [with our suppliers] in a much faster way.”

Ultimately, this is where Kern says Cisco and its supply chain is headed: to what he calls supply chain orchestration.

“We’re trying to move this from a big IT project to having literally hundreds of people in our supply chain that are equipped to change the nature of their work every day,” he said. “If they understand the technology, they’re empowered to change the nature of their work.”

“This is the path for breakthrough productivity,” he concluded. “If you’re not investing heavily in these concepts today, it will be hard for you to stay relevant in the next decade.”

SEMI today announced that Jon D. Kemp, president of DuPont Electronics & Communications, and Tadahiro Suhara, president of SCREEN Semiconductor Solutions Co., Ltd., were elected as new directors to the SEMI International Board of Directors in accordance with the association’s by-laws.

Nine current board members were re-elected for a two-year term: Martin Anstice, president and CEO of Lam Research; Kevin T. Crofton, president of SPTS Technologies (an Orbotech company); Mitsunobu (Nobu) Koshiba, representative director and president of JSR Corporation; Yong Han (YH) Lee, chairman of Wonik; Sue Lin, vice chairman of Hermes Epitek Corporation; Tetsuo (Tom) Tsuneishi, chairman of the Board of Tokyo Electron Ltd.; Tien Wu, director and COO of ASE Group; Natsunosuke Yago, president,  representative director, and chairman of Ebara Corporation; and Guoming Zhang, executive VP of Sevenstar Electronics.

Additionally, the SEMI Executive Committee confirmed Yong Han Lee, chairman of Wonik as SEMI chairman, and Tetsuo Tsuneishi, chairman of the Board of Tokyo Electron, Ltd. as SEMI vice-chairman.

The leadership appointments and the elected board members’ tenure become effective at the annual SEMI membership meeting on July 13, during SEMICON West 2016 in San Francisco, California.

“SEMI and its membership are fortunate to have an accomplished, diverse and global board to oversee the association’s strategic direction,” said SEMI president and CEO Denny McGuirk.  “We appreciate our board members’ contributions to the industry, congratulate the re-elected members, and welcome Jon Kemp and Suhara-san, who begin their terms of service as SEMI directors.”

SEMI’s 19 voting directors and 11 emeritus directors represent companies from Europe, China, Japan, Korea, North America, and Taiwan, reflecting the global scope of the association’s activities. SEMI directors are elected by the general membership as voting members of the board and can serve a total of five two-year terms.

MEMS & Sensors Industry Group (MSIG) invites attendees to a special half-day workshop on the convergence of MicroElectroMechanical Systems (MEMS) devices, sensors, flexible substrates and semiconductors in the Internet of Things (IoT) at SEMICON West on July 13, 2016. Speakers will explore the theme “From Collision to Convergence: Co-Creating Solutions in the Semiconductor and MEMS/Sensors Industry” as they address a new and necessary level of collaboration for enabling IoT and other growing applications.

“The supply chain for the IoT is complex, and navigating its dynamic ecosystem requires collaboration among stakeholders,” said Karen Lightman, executive director, MEMS & Sensors Industry Group. “By focusing on pre- and non-competitive challenges, industry players work toward common goals that benefit all — and that are only possible through collaborative effort. Attendees of the MSIG and SEMI joint workshop will get updates on the most pressing challenges to the increased use of MEMS, sensors and semiconductors in IoT applications.”

“Our joint workshop with MSIG at SEMICON West 2016 is a great forum to work together through the key convergence issues as well as to set the agenda for next steps on our shared goals,” said Denny McGuirk, president and CEO of SEMI. “SEMI and MSIG started with a joint survey on MEMS, sensors and semiconductors in early 2015 and immediately found traction among industry players. With its focus on industry realities like consolidation and the extended supply chain, this workshop takes on the key intersections and inflections.”

MSIG Chief Strategy Officer Steve Whalley and SEMI Vice President of Product Management and Business Development Bettina Weiss will co-chair the joint workshop. The agenda features:

  • Keynote: Leveraging M&A in a Converging Semiconductor and MEMS/Sensor IoT World, Greg Mischou, senior partner, Woodside Capital Partners, LLC
  • Panel discussion with panelists from:
    • A.M. Fitzgerald and Associates
    • Electronic System Design Alliance
    • FlexTech
    • Lam Research
    • Woodside Capital Partners
  • Breakout Sessions — breakout groups will report on specific actions that companies can take to address these challenges/opportunities.

MSIG and MSIG member companies will be on the show floor at SEMICON West. Visit MSIG in Booth N4 or visit http://msigevents.org/semicon-west-2016 for a list of MSIG exhibiting member companies and partners.

The MSIG and SEMI joint workshop takes place July 13, 2016 from 1:00-5:00 p.m. at the San Francisco Marriott Marquis, 780 Mission Street. Pre-registration is required: http://bit.ly/28IOUbK

AMICRA Microtechnologies, a German-based vendor of advanced back-end assembly processing equipment for advanced packaging applications, has received an order for the AFC Plus System from Fabrinet West. The equipment will be installed in Fabrinet’s optical packaging service facility in Santa Clara, California. AMICRA and Fabrinet have agreed to establish a partnership agreement whereby both companies will work together to provide customers with best support for application and process development activities.

“For AMICRA, this is a strategic partnership to support our existing installed base and to support our rapidly growing USA market,” states AMICRA managing director, Dr. Johann Weinhaendler, on the latest purchase order.

The AFC Plus will provide Fabrinet with the die attach capability to maintain its leadership role in the Opto/Photonic contract manufacturing market, while providing sample build capability for AMICRA’s customers in the USA. The AFC Plus has the flexibility to process most advanced packages especially for in-situ eutectic bonding requiring 0.5µm placement accuracy.  The AFC Plus system which will be delivered in Q3/2016 and supports die placement accuracies down to ±0.5μm @ 3σ for both eutectic and epoxy bonding with cycle times down to 20 to 30 seconds/bond or 180 to 120 UPH making it well suited for processing VCSEL/AOC, Silicon Photonic, Laser Bar and MEMS components.

“Fabrinet is bringing its advanced optical packaging capabilities to Silicon Valley, where a large fraction of our customers are based. AMICRA’s AFC Plus die attach platform sets the industry standard for accuracy, throughput, and robustness. Along with many other capabilities, such as active optical alignment, wire bond, epoxy underfill, laser dicing, and various metrology tools, Fabrinet is planning to offer its customers process/product development services starting in August 2016” states Dr. Hong Hou, Fabrinet’s Executive Vice President and Chief Technical Officer. “The partnership with AMICRA allows Fabrinet to offer the best in class technical support to customers brought by both companies.”

The AMICRA die bonding product line also includes the NOVA Plus, which supports placement accuracies down to ±2.5μm @ 3σ with cycle times down to 3 seconds/bond or 1,200 UPH, and the NOVA FanOut, specifically for the FanOut market, offering a large bonding area of 550mm x 600mm while maintaining die placement accuracies down to ±3.0μm @ 3σ with cycle times down to 1.2 seconds/bond or 3,000 UPH.

Other AMICRA products include the fully automated, high-speed wafer inking system AIS, and the semi-automatic wafer inking system SIS, as well as the fully automated, high-speed precision dispensing system HDS, offered in a quad- or dual-headed configuration to support underfill, glob-top, general dispensing applications and more.

AMICRA will be exhibiting and available for equipment and technical application discussions at SEMICON West (July 12-14) and SEMICON Taiwan (Sept 7-9).

AFCPlus

With disruptive changes occurring in the electronics supply chain, 26,000 professionals will converge on SEMICON West 2016 (July 12-14) at Moscone Center in San Francisco to hear insider perspectives on what the future holds for the industry. Keynote speakers and expert panelists will discuss both challenges and opportunities to help companies navigate turbulent times.

On July 12, John Kern, Cisco’s senior VP of Supply Chain Operations, will give the Opening Keynote on “The Digital Supply Chain – The Next Breakthrough Opportunity.”  Kern states, “Of all the disruptive changes in the electronics sector, the biggest impact may come from the digitization of manufacturing and the emergence of the digital supply chain.”

On July 13, Denny McGuirk, president and CEO of SEMI, will moderate the CONNECT Executive Summit, with the theme “Everything Is Changing.”  With widespread mergers and acquisitions and China building more new chip fabs than any other country, the world has changed dramatically. Panelists Bertrand Loy (Entegris), Bridget Karlin (Intel), and Michael Campbell (Qualcomm) will discuss how they are realigning business models, strategies, and technologies to meet the challenges and embrace the opportunities.

On July 14, Atul Mahamuni, VP of IoT Product Management at Oracle, will present the Thursday Keynote on “Internet of Things in Smart Manufacturing: A Three Phase Journey to Operational Excellence,” focusing on how to extend your business applications to the physical devices in manufacturing operations.

In addition to executive events, SEMICON West will present eight business and technology forums, including three new and updated forums:

  • Extended Supply Chain Forum — includes IC Design Summit, Smart Manufacturing and Analog programs
  • Advanced Manufacturing Forum — includes lithography, scaling, MEMS/sensors, power electronics, interconnects, 3D integration, materials, 200mm, 3D printing, and more)
  • Advanced Packaging Forum  includes SiP, photonics, power, and flexible hybrid electronics

Five additional forums —Test, Sustainable Manufacturing, Silicon Innovation, Flexible Hybrid Electronics, and World of IoT  round out the extended electronics supply chain event.

To register for SEMICON West 2016, visit www.semiconwest.org. Learn more about keynotes and the executive summit. For a limited time, register for only $100 (includes admission to keynotes, TechXPOTs, Silicon Innovation Forum, World of IoT Theatre, 700 exhibits, and Intersolar).

Industrial semiconductor revenues rose slightly in 2015 despite weakness in the overall semiconductor industry and, in particular, economic headwinds in China, which is a major global consumer of industrial chips. Year-over-year global industrial semiconductor revenue rose less than 1 percent in 2015 to reach $41.9 billion. This slight revenue increase in 2015 follows solid growth of 11.5 percent in 2014 and 9.8 percent in 2013, according to IHS Inc. (NYSE: IHS), the leading global source of critical information and insight.

“The flat growth in the industrial semiconductor market last year is a bit discouraging, after a period of such robust growth, but there’s hope on the horizon,” said Robbie Galoso, associate director, industrial semiconductors, IHS Technology. “The industrial market showed resilience in 2015 and all signs are pointing to improving growth in the future.”

The gradual acceleration in the U.S. economy continued to lift industrial equipment demand last year. Broad-based growth in industrial electronics gained momentum in the semiconductor industry, especially in products used for commercial aircraft, LED lighting, digital-video surveillance, climate control, smart meters, traction, wireless application-specific testers and medical electronics.  However, the continued weakness in overall industrial end-market demand caused by falling oil prices and the slowdown in China — especially in factory automation and power and energy markets — stalled semiconductor growth.

Based on the latest information from the IHS Industrial Semiconductors Intelligence Service strong momentum in the industrial electronics category is expected to continue, as the leading application growth driver in the semiconductor industry through 2020. In fact, the industrial semiconductor market is expected to grow at an 8.4 percent compound annual growth rate (CAGR) between 2015 and 2020.

Industrial semiconductor company rankings shift

Texas Instruments maintained its strong position as the largest industrial semiconductor supplier in the world in 2015, followed by Infineon Technologies and Intel. STMicroelectronics dropped to fourth place, while Analog Devices remained in fifth position.

Top10_Ind_Semi_Chart_IHS

The semiconductor industry had a record level of merger and acquisition activity in 2015 that impacted some of the leading industrial semiconductor players,” Galoso said. “Strategic acquisitions will continue to play a major role in shaping the overall semiconductor market rankings in key industrial semiconductor segments.”

Due to its merger with Freescale, NXP rose from 16th to seventh position, registering impressive share gains in manufacturing and process automation, military and civil aerospace, power and energy, medical electronics and other industrial applications. “The company will have a stronger presence in nearly all semiconductor device categories especially in microcomponents, analog and sensors,” Galoso said.