Tag Archives: letter-wafer-business

Covalent Metrology, a provider of analytical services to advanced materials innovation companies, is pleased to announce a new partnership with Rigaku Corporation, a global leader in X-ray analytical instrumentation.

In a joint declaration, Covalent and Rigaku reveal a first-of-its-kind collaboration agreement demonstrating a mutual commitment to support American high-tech industries with the most advanced metrology capabilities in the world.

Under the terms of this agreement, Rigaku, “The world’s leading supplier of X-ray metrology technology,” will be supplying Covalent with several state-of-the-art instruments for their new facility in Sunnyvale, California. This collaboration agreement provides Covalent with exceptional analytical service capabilities and Rigaku a North American demonstration facility located in the heart of Silicon Valley.

“This partnership will significantly expand Covalent’s product offering.  Rigaku is a proven leader in this field and has earned its outstanding reputation over many decades of technology innovation.  Working together, our respective customers will benefit from being able to access the most advanced technology, staffed by world-class experts and delivered with unprecedented customer service,” said Craig Hunter, Covalent’s Founder and CEO.

The Rigaku Semiconductor Metrology Division designs and manufactures X-ray based measurement tools to solve semiconductor manufacturing challenges. With over 35 years of global market leadership in the semiconductor industry, Rigaku metrology tools employ X-ray fluorescence (XRF), X-ray diffraction (XRD), X-ray reflectometry (XRR) and Critical-Dimension Small-Angle X-ray Scattering (CD-SAXS) techniques, enabling everything from in-fab process control metrology to R&D for thin film and materials characterization.

Products include in-line, high-throughput X-ray monitoring tools for measuring critical process parameters such as film thickness, density and roughness, XRF spectrometers for thickness and composition determination, and total-reflection XRF spectrometers (TXRF) with integrated vapor phase decomposition (VPD) for trace contamination monitoring.

“We believe deeply in the power of American ingenuity, and we are focusing our investments in areas where we can have a direct impact on innovation. Rigaku is committed to advancing cutting-edge technologies that deliver solutions for yield enhancement and process development,” said Kiyoshi Ogata, Rigaku Senior Vice President. “Covalent Metrology’s experienced leadership, top-notch technical team and business model innovations altogether make them the ideal partner for our Silicon Valley Semiconductor Lab. We are proud to be a part of this collaboration and look forward to enabling new customer designs.”

Covalent Metrology provides imaging and characterization services to support R&D, defect analysis, and quality control for companies in semiconductors, solar, medical devices, MEMS and other industries.  Covalent’s analytical services include atomic force microscopy (AFM), X-ray XRD/XRR, high-resolution X-ray diffraction (HR-XRD), scanning electron microscopy (SEM), spectral ellipsometry, optical profilometry, UV-VIS-NIR spectrophotometry, TEM, XPS, TOF-SIMS and many others.

Sanjay Mehrotra, President and CEO, Micron Technology, 2019 SIA Chair

The Semiconductor Industry Association (SIA), representing U.S. leadership in semiconductor manufacturing, design, and research, today announced the SIA Board of Directors has elected Sanjay Mehrotra, President and CEO of Micron Technology, Inc. (NASDAQ: MU), as its 2019 Chair and Keith Jackson, President, CEO, and Director of ON Semiconductor (NASDAQ: ON), as its 2019 Vice Chair.

“It is a great pleasure to welcome Sanjay Mehrotra as SIA’s 2019 Chair and Keith Jackson as SIA’s Vice Chair,” said John Neuffer, SIA President and CEO. “A design engineer by trade, Sanjay is a highly accomplished industry veteran and a leading voice on semiconductor technology. With more than 30 years of experience, Keith is a mainstay in our industry and a devoted champion for semiconductor priorities. Their combined skills and experience will be a tremendous asset to SIA as we pursue our industry’s interests in Washington and around the world.”

A 39-year veteran of the semiconductor industry, Mehrotra joined Micron in May 2017 after a long and distinguished career at SanDisk Corporation, where he led the company from a start-up in 1988 until its eventual sale in 2016. In addition to being a SanDisk co-founder, Mehrotra served as its President and CEO from 2011 to 2016, overseeing its growth to an industry-leading Fortune 500 company.

Prior to SanDisk, Mehrotra held design engineering positions at Integrated Device Technology, Inc., SEEQ Technology, and Intel Corporation. Mehrotra earned both bachelor’s and master’s degrees in electrical engineering and computer science from the University of California, Berkeley. He holds more than 70 patents and has published articles in the areas of non-volatile memory design and flash memory systems.

“The semiconductor industry is leading the greatest period of technological advancement in human history, making the seemingly impossible possible and opening up tremendous opportunities for economic growth,” said Mehrotra. “Driving innovation requires our industry to speak with one voice and promote policies that support our industry vision, and I look forward to helping lead that effort as 2019 SIA Chair.”

Jackson began serving as President, CEO, and Director of ON Semiconductor in November 2002. Before joining ON Semiconductor, he was with Fairchild, serving as Executive Vice President and General Manager, Analog, Mixed Signal, and Configurable Products Groups, and was head of its Integrated Circuits Group.

Previously, Jackson served as President and a Member of the Board of Directors of Tritech Microelectronics in Singapore and worked for National Semiconductor Corporation, most recently as Vice President and General Manager of the Analog and Mixed Signal division. He also held various positions at Texas Instruments Incorporated, including engineering and management positions, from 1973 to 1986. Mr. Jackson earned his bachelor’s and master’s degrees from Southern Methodist University.

“It is an honor to serve as 2019 SIA Vice Chair,” Jackson said. “Many issues of great importance to the semiconductor industry are being debated in Washington and around the world. We look forward to promoting policies that advance semiconductor technology and move our industry forward.”

 

Silvaco, Inc. today announced the opening of a second Christian Doppler Laboratory (CDL) in partnership with the Institute for Microelectronics, TU Wien. The new CDL, officially opened November 12th will develop new device simulation solutions for MRAM, a novel non-volatile memory technology.

“The fact that memory components are constantly becoming smaller and smaller is driven by the constant need for devices with lower power and higher capacity,” said Dr. Siegfried Selberherr, Professor at the Institute for Microelectronics, TU Wien. “Conventional technologies are now reaching the limits of miniaturization and new technologies are being developed to replace them. The new CD lab will make an important contribution by exploring the foundations of possible memory alternatives and harnessing this new knowledge to the advantage of semiconductor businesses and their customers.”

Magnetoresistive random-access memory (MRAM) is a non-volatile memory technology with the potential to become a dominant alternative to DRAM and SRAM, and the future possibility to become a universal memory for digital devices. MRAM has the operation speed close to SRAM while using lower power and less area for an equivalent memory density. This characteristic makes MRAM suitable for a large number of applications, such as automotive and industrial where both performance and non-volatile memory are required.

“New digital device technologies will enable the next generation of smart components for consumer and industrial applications,” said Dr. Viktor Sverdlov from the Institute for Microelectronics, TU Wien, and who heads the new Christian Doppler Laboratory. “MRAM has the potential to deliver both more memory density and much lower power consumption extending memory beyond the current solutions. TCAD device simulation of this new device technology is an essential step in making this change possible for the industry.”

“TCAD simulation always plays a significant role launching, supporting and optimizing new technologies and this is also true for novel memories such as MRAM,” said Dr. Eric Guichard, VP and GM of the TCAD Division at Silvaco. “Silvaco has a long history pioneering new technologies and this new CDL is the latest addition to Silvaco’s TCAD development which is also progressing on high speed TCAD, atomistic simulation for advanced logic and cryogenic simulation for supercomputing. We are pleased to undertake this second technology partnership with the Institute for Microelectronics, TU Wien, and together we will continue to deliver research at the leading edge of semiconductor design.”

MagnaChip Semiconductor Corporation (“MagnaChip”) (NYSE: MX), a designer and manufacturer of analog and mixed-signal semiconductor platform solutions, today announced that volume production has commenced for an IGBT product for power module targeted to high-voltage industrial applications. IGBT is one of a MagnaChip family of Power standard products called Insulated Gate Bipolar Transistors.

The new IGBT P-series (“MBW100T120PHF”) has both high current and high voltage capabilities of 1200V and 100A, and has achieved a low saturation voltage Vce(sat) of 1.71V and low switching losses by using Field-Stop Trench technology. MBW100T120PHF allows designers to operate devices at an improved switching frequency, which enables reducing the size and cost of capacitors and inductive devices in circuits.

To product designers, this translates into high power density, small size and low material cost of products. MBW100T120PHF is operable up to four times the rated current, and with a wide SOA (Safe Operating Area) well-suited for industrial applications which require high power. In addition, by optimizing the resistance embedded inside the chip, MBW100T120PHF enables a parallel structure design, which allows multiple chips to operate simultaneously.

MBW100T120PHF is expected to improve overall system stability and energy efficiency of applications by reducing the power loss from DC-AC power conversions for high-voltage industrial applications, such as 10kW+ 3-phase motor and photovoltaic inverter systems.

“We are pleased to launch our newest IGBT P-series product for industrial power modules, with high-voltage and high-current capabilities of 1200V and 100A,” said YJ Kim, CEO of MagnaChip. “The introduction of this IGBT P-series product will further expand our IGBT power product portfolio and enhance our reputations as a market leader of high-voltage power standard products.”

North America-based manufacturers of semiconductor equipment posted $2.06 billion in billings worldwide in October 2018 (three-month average basis), according to the October Equipment Market Data Subscription (EMDS) Billings Report published today by SEMI. The billings figure is 0.9% percent lower than the final September 2018 level of $2.07 billion, and is 2.0 percent higher than the October 2017 billings level of $2.02 billion.

“October billings of North American equipment suppliers reflect near-term weakening of demand for PC, mobile phones and servers,” said Ajit Manocha, president and CEO of SEMI. “Additionally, memory manufacturers have pulled back investments in response to recent softening of memory pricing.”

The SEMI Billings report uses three-month moving averages of worldwide billings for North American-based semiconductor equipment manufacturers. Billings figures are in millions of U.S. dollars.

Billings
(3-mo. avg.)
Year-Over-Year
May 2018
$2,702.3
19.0%
June 2018
$2,484.3
8.0%
July 2018
$2,377.9
4.8%
August 2018
$2,236.8
2.5%
September 2018 (final)
$2,078.6
1.2%
October 2018 (prelim)
$2,059.1
2.0%

Source: SEMI (www.semi.org), November 2018

SEMI publishes a monthly North American Billings report and issues the Worldwide Semiconductor Equipment Market Statistics (WWSEMS) report in collaboration with the Semiconductor Equipment Association of Japan (SEAJ). The WWSEMS report currently reports billings by 24 equipment segments and by seven end market regions. SEMI also has a long history of tracking semiconductor industry fab investments in detail on a company-by-company and fab-by-fab basis in its World Fab Forecast and SEMI FabView databases.

Mentor, a Siemens business, today announced that DECA Technologies has become the latest member of Mentor’s (outsourced assembly and test) OSAT Alliance – a program to help drive faster adoption of new, high-density advanced packaging (HDAP) technologies like 2.5D IC, 3D IC and fan-out wafer-level packaging (FOWLP) for customer integrated circuit (IC) designs. The Alliance enables mutual customers to better leverage Mentor’s proven HDAP flow to quickly bring to market innovations for internet of things (IoT), automotive, high-speed communications, computing and artificial intelligence (AI). DECA is supporting this objective by making available to Mentor and DECA’s mutual customers a new assembly design kit (ADK) for DECA’s M-Series advanced fan-out wafer-level package (FOWLP) process to be used with Mentor software.

Through the alliance, the two companies are offering a comprehensive tool flow that gives mutual customers the ability to create and evaluate multiple complex IC package assemblies and interconnect scenarios in an easy-to-use, data robust graphical environment prior to and during physical design implementation.

The Mentor flow from DECA Technologies features industry-leading tools:

  • Xpedition® Substrate Integrator – for engineers to evaluate M-Series package and configuration before committing to design; and for DECA configuration of customer designs into selected M-Series package.
  • Xpedition® Package Designer – for engineers to design/layout a single or multi-die M-Series package.
  • Calibre® 3DSTACK – for signoff leveraging the M Series ADK – ensures die or multiple dice and package design conform to M-Series manufacturing rules.

The DECA ADK provides mutual customers with a verified sign-off fabrication rule deck for Calibre 3DSTACK that will enable companies to converge on sign-off faster and with less verification cycles.

“Being part of the Mentor OSAT Alliance has allowed DECA to fast-track the creation of a Mentor-based ADK for our breakthrough M-Seriesä FO-WLP technology,” said Chris Scanlan, senior vice president at DECA Technologies. “Since the Mentor flow includes Calibre, the golden signoff solution for the fabless ecosystem, our customers are able to quickly close any physical verification issues for their entire solution, resulting in faster time to market.”

Mentor continues to spearhead the EDA industry by enabling the entire ecosystem to adopt new technologies via its OpenDoor program and the various alliances that fall under the program. The OSAT Alliance program helps promote the adoption, implementation and growth of HDAP throughout the semiconductor eco-system and design chain, enabling system and fabless semiconductor companies to have a friction-free path to emerging packaging technologies.

“We are pleased that HDAP technology pioneer DECA Technologies has joined the Mentor OSAT Alliance,” said AJ Incorvaia, vice president and general manager of Mentor’s Electronic Board Systems Division. “In doing so, and by providing a fully validated ADK for DECA’s M-Series FOWLP process for Mentor’s proven HDAP tool flow, we have enabled customers to more easily transition from classic chip design to 2.5 and 3D solutions.”

With Korea expected to remain the world’s largest consumer of semiconductor equipment, building on its 18 percent share in 2018, SEMICON Korea 2019 is poised to connect global electronics manufacturing companies to new opportunities. More than 450 companies will gather at SEMICON Korea 23 – 25 January 2019, at the COEX in Seoul – for the latest microelectronics developments and trends from industry leaders and visionaries. Registration is now open.

SEMICON Korea, the premier event in Korea for electronics manufacturing, features key insights in artificial intelligence (AI), SMART manufacturing, talent and other critical industry issues. SEMICON Korea brings companies together to “Connect, Collaborate, and Innovate” as the event is poised to set a record of more than 2,000 booths.

  • CONNECT to business and technology leaders to uncover new industry relationships
  • COLLABORATE with industry experts across the electronics manufacturing supply chain
  • INNOVATE to drive new technologies and business

SEMICON Korea 2019 highlights include the following:

AI Summit – AI is powering the next phase of semiconductor industry growth with applications across automotive, manufacturing, and more. Summit attendees will meet industry leaders to discuss new AI collaborations and emerging business opportunities.

MEMS and Sensors Summit – Data acquisition from the edge is essential for IoT and AI to flourish, driving growth of the MEMS and sensor industry. Industry-leading MEMS and sensors companies will share their visions, technology roadmaps and business models for enabling IoT and AI.

SMART Manufacturing Forum – Manufacturing adaptability is a key enabler of advanced technologies and applications. Industry leaders will gather to explore what’s needed to leverage advanced analytics, improve the use of real-time simulation and cyber-physical systems and better integrate the supply chain to drive greater manufacturing flexibility.

Workforce Development – The new Workforce Pavilion at SEMICON Korea extends SEMI’s efforts to help tackle the industry’s vital need for talent. The Pavilion offers university students interviews with industry experts and tutorials on semiconductor production to help students explore career paths. SEMICON Korea will also launch a mentoring program to help students enter careers in semiconductor manufacturing.

SEMICON Korea 2019 will also feature its popular business matching program with seven device makers and original equipment manufacturers (OEMs) meeting with 100 potential customers.

“SEMICON Korea 2019 provides programs that help power industry growth,” said H.D. Cho, president of SEMI Korea. “We continue to expand our event offerings to offer new ways for the industry to “Connect, Collaborate and Innovate.”

For more event information, please click here.

By Yoichiro Ando

Artificial intelligence (AI) is on the verge of transforming entire industries as it gears up to power semiconductor industry innovation and growth, thrusting the technology to front and center at SEMICON Japan 2019, December 12-14 at the Tokyo Big Sight (Tokyo International Exhibition Center).

A number of Japanese startups are on leading edge of AI innovation in machine and deep learning. One is Preferred Networks Inc., a company that applies cutting-edge deep learning technology to Internet of Things (IoT) applications across transportation, manufacturing and healthcare.

In his opening day keynote At SEMICON Japan 2019, Toru Nishikawa, president and CEO of Preferred Networks, Inc., will highlight the latest developments and promise of using deep learning for industrial applications. Nishikawa will unpack how AI companies jockeying for competitive advantage will win by harnessing technologies to process massive amounts of data efficiently and quickly.

Following is look at Preferred Networks, Inc. and five other Japanese startups that are driving AI innovation.

Within Japan’s world of AI, machine learning, and deep dearning, Preferred Networks is likely the most well-known Japanese company. The parent company, Preferred Infrastructure, was founded in March 2006 by Toru Nishikawa and Daisuke Okanohara, who focused on search engine development before turning to machine learning and establishing Preferred Networks to commercialize the technology.

Preferred Networks established itself as one of the world’s top providers of machine learning technology with the development of Chainer – an open source deep learning framework that has been offered free of charge since June 2015 and was released before TensorFlow, Google’s renowned Deep Learning framework.

Established in 2012, ABEJA is thought to be Japan’s first venture company to specialize in deep learning. ABEJA’s core technology is its AI platform ABEJA Platform. Based on this platform, the company offers various solutions to more than 100 client companies. ABEJA also offers ABEJA Insight, a specialized package service for the retail and distribution, manufacturing, and infrastructure industries.

Data analytics provider BrainPad Inc. was the first Japanese AI venture listed on the Tokyo Stock Exchange. Established in 2004, before the advent of big data, BrainPad Inc. cultivated a vision of analyzing vast amounts of data in increase the competitiveness of Japanese companies.

LeapMind Inc. aims to offer deep learning technology that uses fewer computing resources and draws less power. Both are important capabilities since deep learning requires considerable computing resources to perform image and speech recognition. The company’s answer to this deep learning challenge is a small form factor FPGA with low power consumption.

In April 2018, LeapMind started offering the tool DeLTA-Lite to support model construction for Deep Learning. The tool simplifies the development of deep learning design models, eliminating the need for model design, hardware, and software expertise.

Hacarus Inc.’s HACARUS-X AI technology, which combines sparse modeling and machine learning technology, features low power consumption and small devices such as FPGAs. In collaboration with semiconductor trading company PALTEK, Hacarus is integrating HACARUS-X algorithms with Xilinx’s FPGA Zynq UltraScale + MPSoC. Both companies area also implementing HACARUS-X algorithms in a box computer.

Sparse modeling is gaining attention as a modeling method by which humans can understand the judgment process of AI by extracting features from a small amount of learning data.

With expertise in life science fields such as medical and biology and image processing technology, LPixel, Inc. develops image analysis systems with original algorithms and machine learning techniques. It has developed a cloud-based AI image analysis platform and an AI medical image diagnosis support technology that streamlines the review of large amounts of research data and detects image fraud in research papers and other documents for the medical and biology fields, freeing researchers to devote more time to their core work.

Yoichiro Ando is a marketing director at SEMI Japan. 

Cabot Microelectronics Corporation (Nasdaq: CCMP), today announced that it has completed its previously announced acquisition of KMG Chemicals, Inc.  As a result of the acquisition, KMG has become a wholly owned subsidiary of Cabot Microelectronics.  Under the terms of the definitive agreement, each share of KMG common stock was converted into the right to receive $55.65 in cash and 0.2000 of a share of Cabot Microelectronics common stock, without interest and with cash paid in lieu of any fractional shares.

The acquisition will extend and strengthen Cabot Microelectronics’ position as one of the leading suppliers of consumable materials to the semiconductor industry.  Additionally, the combined company will be a leading global provider of performance products and services for improving pipeline operations and optimizing throughput.  The transaction is expected to be significantly accretive to Cabot Microelectronics’ free cash flow and adjusted earnings per share in year one, excluding any acquisition-related costs.

“I am pleased to announce that we have completed the KMG transaction.  We welcome KMG’s employees to our team and look forward to our journey together towards becoming the premier global provider of semiconductor and specialty materials.  We believe that our employees, customers and shareholders will benefit from this transaction as we become a stronger company, focused on providing high-performing and innovative solutions to our customers,” said David Li, President and CEO of Cabot Microelectronics.  “KMG’s industry-leading electronic materials business will expand our CMP product offerings with high-purity solutions used throughout the semiconductor manufacturing process.  We are also excited about the addition of KMG’s performance materials businesses to our portfolio which will allow us to expand our participation into new markets including the attractive, high-growth pipeline performance segment.”

In connection with the acquisition, Cabot Microelectronics borrowed $1.065 billion under a new senior secured term loan facility, the proceeds of which were used to finance in part the cash portion of the merger consideration, to repay KMG’s existing indebtedness and to pay fees and expenses related to the acquisition.  Cabot Microelectronics issued approximately 3.2 million shares of common stock to holders of KMG common stock for the stock portion of the merger consideration.

Micron Technology, Inc., (Nasdaq: MU) today announced at Electronica 2018 that it will collaborate with the BMW Group to further advance the development of automotive memory solutions used in vehicles. Memory and storage are key components in accelerating the intelligence and user experience of next-generation systems in vehicles, including in-cabin infotainment as well as advanced driver-assistance systems (ADAS) technology, which together play an important role in making self-driving autonomous cars a reality.

Micron and the BMW Group will intensify their existing efforts toward testing and development of automotive memory solutions at Micron’s state-of-the-art lab in Munich, Germany. Using the Test Automation Framework of the BMW Group as a car emulator platform, the two companies will work together to define and validate memory and storage solutions for next-generation platforms. The collaborative effort will leverage Micron’s memory and storage technology expertise, along with its broad portfolio of DRAM, NAND, and NOR technologies, including LPDRAM, e.MMC, UFS and SSD storage solutions.

As a proven memory partner for automotive manufacturers, Micron recognizes the importance of validating and testing new automotive memory technologies for robustness and reliability before releasing them into the market. Micron’s customer lab expertise in developing innovative automotive memory technologies will enable the BMW Group to raise the quality of the driving experience in automobiles of the future.

“The incorporation of new features and capabilities in advanced in-vehicle infotainment (IVI) and ADAS, such as voice recognition, hand gesturing and image recognition, are driving an explosive growth in both volatile and nonvolatile memory embedded in vehicles, accelerating intelligence at the edge,” said Giorgio Scuro, vice president of Micron’s automotive division. Micron has a long-standing record working with automotive industry partners, and this joint initiative with the BMW Group is a testament to our expertise in bringing innovative automotive memory technologies to market.”

As a leading memory partner with more than 25 years of experience, Micron provides advanced automotive memory solutions that meet stringent quality, reliability and compliance requirements. Micron’s broad portfolio of volatile and nonvolatile memory products are optimized for automotive and supported by a formal product longevity program.