Tag Archives: letter-wafer-business

Seoul Semiconductor Co., Ltd. (KOSDAQ:046890) (“Seoul”), a developer of LED products and technology, announced that it won a patent litigation against Everlight Electronics Co., Ltd. (“Everlight”) in Germany.

The patent involved in this litigation relates to an LED package structure for thermal dissipation. Everlight purchased this patent from a U.S. company in 2017, and subsequently brought a patent lawsuit against Seoul in the Manheim Court of Germany.

In December 2018, however, the Manheim Court ruled in favor of Seoul and ordered that Everlight, as the losing party, should bear the statutory costs of the court proceeding.

In the United Kingdom, Seoul had already won a patent litigation against Everlight earlier this year. At that time, the UK Patent Court also ordered that Everlight must pay approximately one million dollars in litigation costs to Seoul. In the meantime, Seoul is pursuing patent infringement lawsuits against a global distributor of Everlight’s high-power and mid-power LED products in Germany, Italy and Japan.

Everlight has previously sued another of its competitors in Japan after purchasing a patent from a foreign company, but it lost that action as well. In April 2017, Everlight filed a patent lawsuit against Nichia Corporation and Citizen Electronics in Japan, relying on the purchased-patent. However, the Tokyo District Court dismissed Everlight’s lawsuit in October 2018.

“Seoul has invested approximately 100 billion won per year in research and development to ensure that it creates its own cutting-edge technology and products, thereby establishing its own formidable patent portfolio,” said Nam Ki-bum, Executive Vice President of the Lighting Department at Seoul. “We hope that our commitment and success for technology innovation would inspire young entrepreneurs and small businesses.”

As the Silicon Valley in California and the West Coast continue to be the hub of the semiconductor manufacturing in the U.S., HEIDENHAIN has expanded its motion systems support by establishing an ETEL facility in Fremont, CA.  This new 2018 office will provide product, service and support of its ETEL motion systems designed specifically for that industry and others.

The Fremont office is an expansion of HEIDENHAIN’s San Jose, CA, office and provides warehouse space to keep multiple ETEL motion systems on site.  On display currently is an ETEL VULCANO stacked platform motion system, the METIS planar platform, and a demo bench showing ETEL single-axis solutions all operating using ETEL’s AccurET controls.

A cleanroom has been built at this ETEL facility to simulate the expected working environment of its operation, and multiple Service Engineers are on hand to provide real-time support.  A conference room space has also been established as a meeting area for visitors.

“The opening of this facility in 2018 has allowed HEIDENHAIN to meet the needs of the high-tech and fast-paced nature of Silicon Valley that often demands immediate response and is yet another example or HEIDENHAIN’s Customer First initiative,” said Daniel Wiseman, HEIDENHAIN Motion System Sales Engineer. “And actually, area customers of all kinds can now walk-in and see motion systems in person.  Plus, we can now provide on-site trouble-shooting more easily when required.”

Rudolph Technologies, Inc. (NYSE: RTEC) today announced the receipt of over $12M in new orders for its recently-released NovusEdge™ system for edge and backside inspection on bare silicon wafers. The new orders are for capacity expansions at our existing customers as well as orders from two additional large wafer manufacturers based in Asia. These orders are in addition to the $3M previously announced and will ship throughout calendar year 2019.

“With these orders Rudolph has expanded its customer base to include the top wafer suppliers with over 75 percent of the bare wafer market share,” said Mike Plisinski, Rudolph Technologies’ CEO. “We believe the early acceptance of these new systems is being driven by the accelerating demand for high-quality bare silicon wafers for sub 20nm nodes. To meet that demand, customers require an inspection solution with increased sensitivity on the wafer edge and backside. The Rudolph team worked closely with bare wafer manufacturers in order to introduce a new product with compelling capabilities and value of ownership. As the rate of die shrinks slows at the advanced nodes, more wafers are required to make enough die to meet growing market demand, especially for advanced memory and logic chips.”

Chips and micro-fractures at the edge readily propagate as cracks into active areas of the wafer, especially when the wafer is thinned. Backside contamination can distort the frontside of the wafer during lithography, consuming focus budgets that are already thin and will become even thinner with the adoption of EUV lithography.

Edge and backside inspection have requirements that are very different from conventional frontside inspection. The NovusEdge EBI (Edge, Backside Inspection) system’s image-based approach provides full coverage of near-edge, bevel and apex regions, both top and bottom. Compared to existing technology, it is faster, more sensitive and better at classifying types of defects, especially for the complex shapes and curvatures at the notch. Backside inspection can use the same light scattering techniques used on the frontside but requires special handling capabilities to avoid contacting or contaminating the frontside. In all cases, sophisticated software provides analysis and automatic defect classification.

SEMICON Japan 2018, the largest and most influential event for the electronics manufacturing supply chain in Japan with more than 70,000 attendees expected, opens tomorrow at Tokyo Big Sight. Themed “Dreams Start Here,” The Dec. 12-14 exposition and conference gathers industry leaders and visionaries for insights into the latest technologies, innovations and trends in the electronics industry, including emerging opportunities in SMART applications and the all-new SMART Application Zone.

With artificial intelligence (AI) and Internet of Things (IoT) transforming industries and applications, Japan is uniquely positioned to meet the electronics industry’s new demands with a strong customer basis in automotive and robotics, and considerable 200mm and smaller wafer fab capacity for the MCU, logic, power, and MEMS and sensor devices key to SMART applications.

The SuperTHEATER highlights SEMICON Japan with seven forums in three days:

  • Opening keynotes on an “Alternative Future Envisioned by New Leaders” feature Motoi Ishibashi, CTO at Rhizomatiks, and Toru Nishikawa, president and CEO at Preferred Networks
  • Semiconductor Executive Forum with “Executive Viewpoints from Three Top SMART Era Companies: Toshiba Memory, GLOBALFOUNDIRES and Qualcomm
  • SEMI Market Forum, “Growing China and Global Semiconductor Ecosystem,” with presenters from IHS Markit and SEMI
  • SMART Transportation Summit, “Future Created by SMART Innovation,” with executives from Toyota, Honda, Denso, Bosch and Infinion
  • SMART Technology Forum, “The Front Line of AI,” with speakers from The University of Tokyo, Microsoft, Amazon Web Services and DefinedCrowd
  • Manufacturing Innovation Forum, “The Front Line of EUV lithography,” with ASML, Carl Zeiss and Xilinx
  • Mirai Vision Forum, “Technology and the Future of the Body,” with speakers from Leave a Nest, MELTIN MMI and Man-Machine Synergy Effectors

SMART Application Zone

On the SEMICON Japan show floor, 70 companies will exhibit in the new SMART Application Zone in East Hall 3. Connecting SMART industries with the semiconductor supply chain, the SMART Application Zone will showcase emerging technologies and vertical product applications generating new semiconductor demand across SMART Transportation and SMART Manufacturing. Key exhibitors include:

  • SMART Transportation – Bosch, Tesla Motors and Toyota Motor
  • SMART Manufacturing – IBM, Japan Semiconductor, Lapis Semiconductor, Microsoft, NEC, Preferred Networks, Sony, SAS and SIEMENS

Register now for SEMICON Japan. For a detailed agenda, please see the “SEMICON Japan Schedule-at-a-Glance.

Microtronic, Inc., a maker of high-speed full-wafer semiconductor macro defect inspection systems, wants to shed new light on a topic that is frequently misunderstood in the industry: macro vs. micro inspection. The company is releasing a new series of free informational tech bulletins entitled Macro Intelligence, addressing the often-underutilized capabilities of macro defect wafer inspection and how fabs can best use them to improve their total wafer defect management. Anyone may request to receive the new bulletins.

“In today’s fabs we still see confusion about the relative roles of macro and micro wafer inspection,” said Reiner Fenske, Microtronic’s CEO. “Many people still think that macro and micro are basically trying to do the same job, when actually they’re doing two very differentjobs — each very important and each complementary to the other.”

“Here’s the fundamental challenge,” said Fenske. “As critical dimensions and killer defects get smaller, micro inspection requires ever increasing magnification and resolution — and longer inspection times. Which is why micro inspections are usually limited to just a small part of the semiconductor wafer and on a small sampling of wafers, perhaps only one or two from a lot. Unfortunately, that leaves a great deal of wafer real estate uninspected!”

“And that’s the big void that automated macro wafer inspection can fill,” said Errol Akomer, Applications Director at Microtronic. “Today’s generation of ultra high-speed semiconductor macro defect wafer inspection systems, such as our EagleView, can now capture full-wafer, high-resolution images of every wafer in the lot within a few minutes, without needing recipes. So fabs can now do 100% macro defect wafer inspections after many more process steps, to catch defects that otherwise would have been missed — random defects, intermittent process or tool issues and a great deal more.”

Akomer noted that automated macro inspection not only detects more defects, it also recordswafer images and defect information in a database that can be reviewed long after the wafers have shipped. This database can supply extremely valuable information to each subsequent processing step and inspection, and it provides a way to find root causes of infrequent issues and excursions. And, importantly, it can also integrate defect data from manual microscopic as well as automated micro inspections — to become an extremely valuable resource for end-of-line inspection. This can significantly improve the quality and completeness of final inspections and reduce the number of hidden defects that escape into the field.

The first of the new Macro Intelligence e-bulletins dealt with the issue of “disappearing” latent defects that can get covered over by subsequent processing steps and become difficult to detect at later inspections. The second bulletin discussed the problem of partially compromised die that can slip through final electrical testing and become reliability problems in the field.

“Bottom line, these new e-mail tech bulletins aim to provide useful information,” said Akomer. “They’re about helping fabs to optimize their defect inspection protocols and improve yields. Each e-bulletin is intentionally brief, to the point, and a quick read. So far, people are telling us they like them!”

Lam Research Corporation (Nasdaq: LRCX) today announced that the Board of Directors has accepted Martin Anstice’s resignation as chief executive officer and a member of the Board and has named Tim Archer president and chief executive officer (CEO) effective immediately. Mr. Archer has also been appointed to the Board of Directors of Lam Research. Prior to this appointment, Mr. Archer was the company’s president and chief operating officer (COO).

Mr. Anstice resigned as the company investigates allegations of misconduct in the workplace and conduct inconsistent with the company’s core values, including allegations about Mr. Anstice. The alleged conduct did not involve financial misconduct, nor did it relate to questions regarding the integrity of the company’s financial systems or controls. Upon learning of the allegations, the Board of Directors formed a committee of independent directors led by Lead Independent Director Abhi Talwalkar to conduct an investigation of the allegations, which is ongoing. The independent directors retained an external law firm to assist with the investigation. Mr. Anstice will be leaving the company without receiving any severance benefits.

Mr. Archer was appointed president and COO of Lam Research in January 2018, after serving as COO since June 2012 when Lam completed its acquisition of Novellus Systems, Inc. He joined Novellus in 1994 and held numerous positions throughout his tenure there, including serving as COO at the time of the acquisition by Lam Research.

“The board believes strongly in Lam’s vision and strategy. With Martin’s resignation, we are implementing our existing succession plan in which Tim was designated to succeed Martin. We are confident that Tim is the right leader to execute on the company’s strategic agenda and drive success in the coming years,” said Mr. Talwalkar. “Since joining us over six years ago with the acquisition of Novellus, Tim has been instrumental in leading Lam Research through a period of transformational growth, and we are confident our company and stakeholders will continue to prosper under Tim’s leadership.”

Mr. Archer commented, “I am honored to lead Lam Research at a time of great opportunity for our company. Lam has industry-leading technologies and is well-positioned to capitalize on the multiple demand drivers for the semiconductor industry. We are committed to our long-term strategy of value creation for our customers, employees, business partners, and stockholders.”

Mr. Talwalkar added, “Lam Research takes all allegations of misconduct seriously. An integral part of the culture of Lam Research is our commitment to provide a safe and positive work environment where each of our employees has the opportunity to thrive. The company has policies in place to support and enforce this commitment.”

Lam Research also reaffirms its financial guidance for the December 2018 quarter, as communicated in the press release dated October 16, 2018. An update to our financial performance and business outlook will be provided at our customary quarterly earnings call on January 23, 2019.

SEMI announced today that the Industry Strategy Symposium (ISS) 2019 will take place January 6-9 at Half Moon Bay’s Ritz-Carlton Hotel with the theme “Golden Age of the Semiconductor: Enabling the Next Industrial Revolution.” ISS is the year’s first executive check-in, bringing together leading analysts, researchers, economists, and technologists for insights on the forces impacting the semiconductor industry. The annual symposium offers executives a unique platform for identifying growth opportunities and gaining industry intelligence to help them ensure that their business plans and forecasts are based on up-to-the-minute market conditions. Registration for ISS 2019 is now open.

Major developments are transforming the extended supply chain — artificial intelligence (AI), intelligent vehicles, augmented and virtual reality, and seemingly limitless connectivity within the cloud. Collaboration across an expanding ecosystem and advanced technical innovations are giving rise to advanced electronics that continue to raise performance and power-consumption requirements and drive heterogenous integration.

“It can be hard to lead to where you’ve not been, particularly at this most dynamic time in business,” said David Anderson, president of SEMI Americas. “Executives from across the supply chain historically have asked for this timely ISS program in order to gauge what the year ahead looks like. To help management get the very latest picture, and to compare notes to most confidently tune their operations and sales, ISS is a tremendous level set for the new year.”

ISS 2019 is the first of seven conferences comprising SEMI’s inaugural Technology Leadership Series of the Americas. Aligned from coast to coast over one year, the series is designed to foster critical discussions on the short- and long-term influences and opportunities to the $2 trillion emerging markets.

ISS 2019 highlights include:

Keynotes

  • Dr. Jo de Boeck, chief strategy officer at IMEC, will share how nanotechnology’s “magic” will enable advanced applications for SMART mobility, SMART cities, infotainment and healthcare
  • Dr. Ann Kelleher, senior vice president for the technology and manufacturing group at Intel
  • Jim Talent, former U.S. Senator, will discuss the evolving U.S.-China strategic relationship

Economic trends and market perspectives affecting the chip industry

  • Executives and economists from Harvard Kennedy School, Gartner, Hilltop Economics, Linx, Amazon, Bank of America, Rockwell Automation, Ericsson, Selexis, Enthought and VLSI Research

Technology, manufacturing and industrial revolution discussions

  • Executives and CTOs from Google, TEL, Micron, Intel Labs, Applied Materials, Xperi, McKinsey, DECA Technologies, Carbon and Brewer Science

For more information about ISS, click here.

The semiconductor manufacturing industry is fighting to attract, educate, and retain the necessary talent for its continued growth. A significant workforce gap of up to 10,000 global positions stretches the industry’s ability to meet the world’s already demanding technology needs. To solve this challenge, SEMI, the global electronics manufacturing association, is launching an audacious and innovative campaign to raise industry awareness and attract students and recent graduates that don’t yet know about the immense opportunities available to them in semiconductor manufacturing.

Semiconductors are the brains and memory of all modern electronics. Their incredible processing power has made breakthroughs possible in communication, transportation, and medicine, powering everything from smartphones to space travel. Whether you’re driving a car, surfing the internet or using a computer, semiconductors drive technological innovation. Global semiconductor revenue has grown by over $100 billion in the last four years and is projected to surpass $0.5 trillion by 2019.

The campaign, You’re Welcome, speaks to how fundamental, yet underappreciated, this technology is. It includes a suspenseful, action-filled movie trailer that shows what happens when scientists, engineers, and mathematicians make semiconductors to save the world from the brink of disaster. The video also takes viewers behind-the-scenes of a semiconductor facility, or fab, which brings together cutting-edge STEM fields to develop the world’s most advanced technology. The campaign’s website provides information about the value and production of semiconductors, as well as a career guide that showcases the wide variety of opportunities available with companies such as Intel, Samsung, Applied Materials, Tokyo Electron, and the more than 2,000 SEMI member companies.

The campaign is just one piece in SEMI’s comprehensive workforce development plan. The plan engages students as early-on as 4th grade, inspires and motivates them through high school and college, and provides pathways to professional careers, building a pipeline to fill the short-term and long-term needs of the industry. Through the You’re Welcome campaign, SEMI is addressing the increasingly urgent workforce need by taking a completely new, never-before-seen approach to talent recruitment by leveraging high-interest areas of entertainment, media and storytelling to excite students about the industry’s role in society.

The Semiconductor Industry Association (SIA), representing U.S. leadership in semiconductor manufacturing, design, and research, today announced the addition of Silicon Labs (NASDAQ: SLAB) as an SIA member. Silicon Labs President and CEO Tyson Tuttle was elected to the SIA board of directors at the association’s board meeting on Nov. 29. Silicon Labs joins several other companies that have become SIA members within the last year: Cree, NVIDIA, Xilinx, Arm, SK Hynix, and KLA-Tencor.

“Silicon Labs is a major player and leading voice in our industry, and we’re thrilled to have them in the SIA tent,” said John Neuffer, SIA President and CEO. “SIA has a 40-year history of advancing the semiconductor industry’s interests in Washington and capitals around the world. Our work to advance policies that will promote growth and innovation in our industry will be greatly strengthened by the addition of Silicon Labs as a member, and we are excited to welcome Tyson Tuttle to the SIA board.”

Tyson Tuttle has been instrumental in shaping Silicon Labs’ strategic and technological direction for more than 20 years. After becoming CEO in 2012, Tyson laid the foundation for a cultural shift to serve broad-based markets with a greater emphasis on software and tools, enabling customers to simplify IoT system design. As CEO, Tyson has transformed Silicon Labs into a leading provider of IoT connectivity solutions, with more than half of the company’s revenue stemming from the IoT. He has more than 25 years of semiconductor experience and holds more than 70 patents in RF and mixed-signal IC design. Tyson received a B.S. degree in Electrical Engineering in 1989 from Johns Hopkins University and an M.S. degree in Electrical Engineering in 1992 from UCLA.

“Smart government policy is critical to the continued strength of the semiconductor industry, the tech sector, and the broader economy,” said Tuttle. “It is a true pleasure to represent Silicon Labs on the SIA board and to work alongside my colleagues to make meaningful progress on issues of great importance to us all.”

SEMI, the global industry association representing the electronics manufacturing supply chain, today reported that third quarter 2018 worldwide semiconductor manufacturing equipment billings dropped 5 percent from the previous quarter to US$15.8 billion but are 11 percent higher than the same quarter a year ago.

The data are gathered jointly with the Semiconductor Equipment Association of Japan (SEAJ) from over 95 global equipment companies that provide data on a monthly basis.

The quarterly billings data by region in billions of U.S. dollars, quarter-over-quarter growth and year-over-year rates by region are as follows:

 
3Q2018
2Q2018
3Q2017
3Q18/2Q18
(Qtr-over-Qtr)
3Q18/3Q17
(Year-over-Year)
China
3.98
3.79
1.93
5%
106%
Korea
3.45
4.86
4.99
-29%
-31%
Taiwan
2.90
2.19
2.37
33%
23%
Japan
2.41
2.28
1.73
6%
40%
North America
1.27
1.47
1.50
-14%
-15%
Rest of World
0.98
0.96
0.74
2%
32%
Europe
0.85
1.18
1.06
-29%
-20%
Total
15.84
16.74
14.33
-5%
11%

Source: SEMI (www.semi.org) and SEAJ, December 2018

 

The Equipment Market Data Subscription (EMDS) from SEMI provides comprehensive market data for the global semiconductor equipment market.