Wafer Level Packaging

WAFER LEVEL PACKAGING ARTICLES



Amkor opens new semiconductor package manufacturing and test plant in Taiwan

09/12/2018  Amkor Technology announced on September 10th the opening of its new manufacturing and test plant at Longtan Science Park in Taiwan.

"Dreams Start Here" at SEMICON Japan 2018 in era of AI

09/11/2018  Japan is at the heart of the semiconductor industry as the era of artificial intelligence (AI) dawns. SEMICON Japan 2018 will highlight AI and SMART technologies in Japan's industry-leading event.

ASMC 2019 Call for Papers deadline is October 9, 2018

09/07/2018  Educate the industry about the latest in advanced processes and materials.

Industry's only worldwide OSAT manufacturing sites database now tracks 320 facilities

09/06/2018  SEMI and TechSearch International database also revises facility technology capabilities and offerings.

Standard for fan-out panel size ready to ballot

09/05/2018  The arrival of Fan-Out Panel Level Packaging (FO-PLP) appears to be at a perfect time: This technology will leverage processes developed for Three Dimensional Stacked Integrated Circuits (3DS-IC) as well as panel processing technologies developed for industries such as solar panels and large-screen TVs.

Brewer Science announces RDL-first fan-out packaging material

09/04/2018  Brewer Science, Inc. today from SEMICON Taiwan introduced the latest additions to its industry-leading BrewerBOND® family of temporary bonding materials, as well as the first product in its new BrewerBUILD™ line of thin spin-on packaging materials.

Micron Foundation establishes $1M fund for Virginia colleges and universities

08/30/2018  The Micron Foundation announced that it will commit $1 million to higher education institutions in Virginia as it invests in the next generation of technicians, scientists and engineers with a focus on women and underrepresented minorities in these fields.

Worldwide semiconductor revenue hit record $120.8B in Q2 2018

08/22/2018  Samsung Electronics, Intel and SK Hynix continued to lead the semiconductor market in Q2 2018.

MRSI Systems launches MRSI-HVM3P for new applications extending the MRSI-HVM3 die bonder family

08/21/2018  MRSI Systems (Mycronic Group), is expanding its high speed MRSI-HVM3 die bonder platform with the launch of the MRSI-HVM3P to offer configurations for active optical cable (AOC), gold-box packaging, and other applications in addition to chip-on-carrier (CoC).

SEMI integration of ESD Alliance underway

08/14/2018  SEMI today announced that all legal requirements have been met for the ESD (Electronic Systems Design) Alliance to become a SEMI Strategic Association Partner.

Mid-year global semiconductor sales up 20.4% compared to 2017

08/06/2018  Q2 sales are highest on record, 6.0 percent more than previous quarter, 20.5 percent higher than Q2 of last year.

SEMI High-Tech Facility events: Shaping the future of smart factories in Taiwan

08/02/2018  The march to greater precision, efficiency and safety – the lifeblood of high-technology manufacturing facilities – has taken on a new urgency as emerging applications such artificial intelligence (AI), the Internet of Things (IoT) and Industry 4.0 give new meaning to smart factories.

Semiconductor Research Corporation releases $26M in new research funds

07/26/2018  JUMP program funds 24 new research projects to amplify mission of its six innovation centers.

North American semiconductor equipment industry posts June 2018 billings

07/25/2018  Global billings of North American equipment manufacturers declined for the current month by 8 percent from the historic high but is still 8 percent higher than billings for the same period last year.

Toshiba Memory Corporation develops 96-layer BiCS FLASH with QLC technology

07/23/2018  Toshiba Memory will start to deliver samples to SSD and SSD controller manufacturers for evaluation from the beginning of September, and expects to start mass production in 2019.

Rahul Goyal of Intel elected Board Chair of Silicon Integration Initiative

07/19/2018  Rahul Goyal of Intel has been elected to a one-year term as board chair of Silicon Integration Initiative, a research and development joint venture that provides standard interoperability solutions for integrated circuit design tools.

The outlook for new metrology approaches

07/10/2018  To keep up with Moore's Law, the semiconductor industry continues to push the envelope in developing new device architectures containing novel materials.

Material innovations for advancements in fan-out packaging

07/09/2018  The development of a new class of materials with superior functionalities is essential to enable emerging process schemes for wafer- or panel-level FO packaging.

Optimized stepping for fan-out wafer and panel packaging

07/09/2018  Optimized stepping, based on parallel analysis of die placement errors and prediction of overlay errors, can increase lithography throughput by more than an order of magnitude and deliver commensurate reductions in cost of ownership. The productivity benefits of optimized stepping are demonstrated using a test reticle with known die placement errors.

Big changes at the top and bottom of Q1 semiconductor equipment market shares

07/09/2018  Market shares of semiconductor equipment manufacturers shifted significantly in Q1 2018 as Applied Materials, the top supplier dropped, according to the report “Global Semiconductor Equipment: Markets, Market Shares, Market Forecasts,” recently published by The Information Network.




WEBCASTS



Environment, Safety & Health

Date and time TBD

The semiconductor industry is an acknowledged global leader in promoting environmental sustainability in the design, manufacture, and use of its products, as well as the health and safety of its operations and impacts on workers in semiconductor facilities (fabs). We will examine trends and concerns related to emissions, chemical use, energy consumption and worker safety and health.

Sponsored By:

Wafer Processing

Date and time TBD

As the industry moves to 10nm and 7nm nodes, advances in wafer processing – etch, deposition, planarization, implant, cleaning, annealing, epitaxy among others – will be required. Manufacturers are looking for new solutions for sustained strain engineering, FinFETs, FDSOI and multi-gate technologies, 3D NAND, and high mobility transistors.

Sponsored By:

More Webcasts