Wafer Level Packaging

WAFER LEVEL PACKAGING ARTICLES



High-res 3D X-ray microscopy for non-destructive failure analysis of chip-to-chip micro-bump interconnects in stacked die packages

03/01/2018  3D integration and packaging has challenged failure analysis (FA) techniques and workflows due to the high complexity of multichip architectures, the large variety of materials, and small form factors in highly miniaturized devices.

Supermicro expands its Silicon Valley corporate headquarters

02/23/2018  Super Micro Computer, Inc. today announced that it has expanded its Silicon Valley Headquarters to over two million square feet of facilities with the grand opening of its new Building 22.

Entering 2018 on solid ground

02/22/2018  2017 finished on an upturn – both in the USA and globally. Based on consolidated fourth-quarter actual and estimated revenues of 213 large, global electronic manufactures, sales rose in excess of 7 percent in 4Q’17 vs. 4Q’16.

Joseph Stockunas appointed to SEMI Foundation Board of Trustees

02/21/2018  Nordson Corporation announces that the SEMI Foundation has appointed Joseph Stockunas, Corporate Vice President for Electronics Systems at Nordson Corporation and the immediate past chair of the SEMI North America Advisory Board, to the SEMI Foundation Board of Trustees in accordance with the association's by-laws.

Qualcomm enters into amended definitive agreement with NXP

02/20/2018  Qualcomm Incorporated today announced that Qualcomm River Holdings B.V. has reached an agreement with NXP Semiconductors N.V.

Siemens continues to invest in IC industry with planned acquisition of Sarokal Test Systems

02/15/2018  Siemens announced it has entered into an agreement to acquire Oulu, Finland-based Sarokal Test Systems Oy.

SEMI China President Lung Chu leads mayor roundtable discussion at International IoT Summit in Silicon Valley

02/14/2018  Yawning differences between cultures, economic systems and rules of law stand as barriers for many China- and US-based technology companies to do business on each other’s soil, making it imperative for both countries to work together to bridge the gaps that make it harder for tech businesses in each country to find partners and open markets in the other, SEMI China president Lung Chu said at a recent conference.

New IC manufacturing lines to boost total industry wafer capacity 8%

02/08/2018  Wafer capacity growth of 8% forecast for 2018 and 2019 versus 4.8% average yearly growth from 2012-2017.

First Trump State of the Union address: How it stacks up against SEMI public policy priorities

02/07/2018  SEMI evaluates the implications of the SOTU address for Trade, Taxes, Technology, Talent and other SEMI public policy priorities.

Lam Research and Tokyo Electron gained in full year 2017 semiconductor equipment market shares

02/06/2018  Market shares of top semiconductor equipment manufacturers for the full year 2017 indicate large gains by Tokyo Electron and Lam Research while top supplier Applied Materials dropped.

ON Semiconductor names 2017 Distribution Partner Award winners

02/06/2018  These awards honor the distributor in each region that led overall channel sales, grew market share, captured increased sales of products from ON Semiconductor’s acquisitions and scored highly on overall process excellence.

Annual semiconductor sales increase 21.6%, top $400B for first time

02/05/2018  Global industry posts highest-ever annual, quarterly, and monthly sales.

Nordson MARCH MesoSPHERE Plasma Systems enable very high throughput processing for 3D and wafer-level package assembly

02/02/2018  Nordson MARCH, a Nordson company introduces the MesoSPHERE Plasma System for very-high throughput processing of 3D and wafer-level packaging processes such as fan-in, fan-out, wafer-level, and panel-level – handling wafers up to 450mm and panels up to 480mm.

Air Products to supply Samsung Electronics' second 3D V-NAND fab in western China

02/02/2018  Air Products today announced it has been awarded the industrial gases supply for Samsung Electronics' second semiconductor fab in Xi'an, Shaanxi Province, western China.

Mobile system-on-chip designs, embedded processing lift MPU market

02/01/2018  Though accounting for less than half of total MPU sales, data-handling cellphones, tablets, and MPUs for embedded processing applications to keep MPU market active through 2022.

Smart manufacturing fuels digital transformation: Takeaways from SEMI Member Forum

02/01/2018  Driven by emerging technologies like Artificial Intelligence (AI), Internet of Things (IoT), machine learning and big data, the digital transformation has become an irreversible trend for the electronics manufacturing industry. The global market for smart manufacturing and smart factory technologies is expected to reach US$250 billion in 2018.

Researchers boost efficiency and stability of optical rectennas

01/29/2018  The research team that announced the first optical rectenna in 2015 is now reporting a two-fold efficiency improvement in the devices — and a switch to air-stable diode materials. The improvements could allow the rectennas – which convert electromagnetic fields at optical frequencies directly to electrical current – to operate low-power devices such as temperature sensor

Turbulent times ahead for trade

01/25/2018  International trade is one of the best tools to spur growth and create high-skill and high-paying jobs. Over 40 million American jobs rely on trade, and this is particularly true in the semiconductor supply chain. Over the past three decades, the semiconductor industry has averaged nearly double-digit growth rates in revenue and, by 2030, the semiconductor supply chain is forecast to reach $1 trillion.

Year End Wow!

01/24/2018  2017 ended on a high note from an end market perspective.

North American semiconductor equipment industry posts December 2017 billings

01/24/2018  The billings figure is 16.3 percent higher than the final November 2017 level of $2.05 billion, and is 27.7 percent higher than the December 2016 billings level of $1.87 billion.




WEBCASTS



Environment, Safety & Health

Date and time TBD

The semiconductor industry is an acknowledged global leader in promoting environmental sustainability in the design, manufacture, and use of its products, as well as the health and safety of its operations and impacts on workers in semiconductor facilities (fabs). We will examine trends and concerns related to emissions, chemical use, energy consumption and worker safety and health.

Sponsored By:

Wafer Processing

Date and time TBD

As the industry moves to 10nm and 7nm nodes, advances in wafer processing – etch, deposition, planarization, implant, cleaning, annealing, epitaxy among others – will be required. Manufacturers are looking for new solutions for sustained strain engineering, FinFETs, FDSOI and multi-gate technologies, 3D NAND, and high mobility transistors.

Sponsored By:

More Webcasts