Wafer Level Packaging

WAFER LEVEL PACKAGING ARTICLES



SEMI and TechSearch International report global semiconductor packaging materials market reaches $16.7B

04/18/2018  SEMI, the industry association representing the global electronics manufacturing supply chain, and TechSearch International today reported that the global semiconductor packaging materials market reached $16.7 billion in 2017.

6 key takeaways from ISS Europe 2018

04/11/2018  With its leading research and development hubs, materials and equipment companies and chipmakers, the EU is in a strategic position in the global electronics value chain to support the growth of emerging applications such as autonomous driving, internet of things, artificial intelligence and deep learning.

The 2018 Symposia on VLSI Technology & Circuits covers converging trends in machine learning, artificial intelligence & the IoT

04/09/2018  The 2018 Symposia on VLSI Technology & Circuits will deliver a unique perspective into the technological ecosystem of converging industry trends – machine learning, IoT, artificial intelligence, wearable/implantable biomedical applications, big data, and cloud computing – the emerging technologies needed for 'smart living.'

U.S. federal government R&D spending for 2018 finalized

04/06/2018  Although many months past due, Congress on March 23 finalized the federal spending for the remainder of fiscal year (FY) 2018, only hours before a what would have been the third government shutdown of the year.

Leti silicon photonics design kit available for Synopsys OptoDesigner PIC design solution

04/05/2018  Leti, a research institute of CEA Tech, today announced Leti's silicon photonics process design kit (PDK) for photonic circuits is available in the Synopsys PhoeniX OptoDesigner suite.

Toshiba Corporation welcomes Nobuaki Kurumatani as Chairman and CEO

04/02/2018  Nobuaki Kurumatani today took office as the first Chairman and CEO of Toshiba Corporation to be appointed from outside the company in over 50 years.

China now world's largest consumer of semiconductor packaging equipment and materials

04/02/2018  Fueled by heavy government investment, IC packaging and testing in China generated $29 billion in revenue in 2017, making China the world's largest consumer of packaging equipment and materials.

"Technology, Circuits & Systems for Smart Living" theme for 2018 Symposia on VLSI Technology & Circuits

03/21/2018  Bringing together a technical program that encompasses 'big integration' of a number of critical industry trends -- machine learning, IoT, artificial intelligence, wearable/implantable biomedical applications, big data, and cloud computing -- the 2018 Symposia on VLSI Technology & Circuits will showcase a convergence of technologies needed for 'smart living.'

U.S. trade tensions with China hit fever pitch

03/21/2018  Stiff tariffs and the dismantling of longstanding trade agreements – cornerstones of these new actions – will ripple through the semiconductor industry with particularly damaging effect.

SEMICON West 2018 to spotlight smart technologies, workforce development

03/20/2018  SEMICON West has opened registration for the July 10-12, 2018, exposition at the Moscone Center in San Francisco, California.

UnitySC acquires HSEB Dresden GMBH

03/20/2018  Following the acquisition, the new entity's extended line of leading-edge process control solutions will provide a unique and essential inspection and metrology capability to semiconductor manufacturers.

The ConFab 2018 announces conference agenda and speakers

03/16/2018  Browse this slideshow for a look at this year's speakers, keynotes, panel discussions, and special guests.

IC Insights raises 2018 IC market forecast from 8% to 15%

03/15/2018  Increased expectations for the DRAM and NAND flash markets spur upward revision.

Trump blocks Broadcom's takeover of Qualcomm

03/13/2018  Qualcomm Incorporated received a Presidential Order to immediately and permanently abandon the proposed takeover of Qualcomm by Broadcom Limited.

Fab spending poised for remarkable fourth year of growth

03/12/2018  The latest update to the SEMI World Fab Forecast report, published on February 28, 2018, reveals fab equipment spending will increase at 5 percent in 2019 for a remarkable fourth consecutive year of growth.

KLA-Tencor receives Intel's Preferred Quality Supplier award

03/08/2018  KLA-Tencor Corporation has been recognized by Intel as a recipient of a 2017 Preferred Quality Supplier (PQS) award.

Are the major DRAM suppliers stunting DRAM demand?

03/07/2018  Skyrocketing DRAM prices potentially open the door for startup Chinese competitors.

Presto Engineering provides high-volume wafer-level test for Maja Systems wireless data-center connectivity solutions

03/06/2018  Launch of Maja Systems terabit connectivity solutions in millimeter wave CMOS accelerated by Presto’s high-volume wireless and RF test expertise.

Executive viewpoints: 2018 outlook

03/05/2018  Each year, Solid State Technology turns to industry leaders to hear viewpoints on the technological and economic outlook for the upcoming year. Read through these expert opinions on what to expect in 2018.

Work to do to keep the good times rolling

03/02/2018  2017 was a terrific year for SEMI members. Chip revenues closed at nearly $440B, an impressive 22 percent year- over-year growth.




WEBCASTS



Environment, Safety & Health

Date and time TBD

The semiconductor industry is an acknowledged global leader in promoting environmental sustainability in the design, manufacture, and use of its products, as well as the health and safety of its operations and impacts on workers in semiconductor facilities (fabs). We will examine trends and concerns related to emissions, chemical use, energy consumption and worker safety and health.

Sponsored By:

Wafer Processing

Date and time TBD

As the industry moves to 10nm and 7nm nodes, advances in wafer processing – etch, deposition, planarization, implant, cleaning, annealing, epitaxy among others – will be required. Manufacturers are looking for new solutions for sustained strain engineering, FinFETs, FDSOI and multi-gate technologies, 3D NAND, and high mobility transistors.

Sponsored By:

More Webcasts